半导体行业介绍.doc 48页

  • 190.50 KB
  • 2022-04-29 14:11:46 发布

半导体行业介绍.doc

  • 48页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'最近天涯有不少的弟兄谈到半导体行业,以及SMIC、Grace等企业的相关信息。   在许多弟兄迈进或者想要迈进这个行业之前,我想有许多知识和信息还是需要了 解的。   正在半导体制造业刚刚全面兴起的时候,我加入了SMIC,在它的Fab里做了四年 多。历经SMIC生产线建立的全部过程,认识了许许多多的朋友,也和许许多多不同类 型的客户打过交道。也算有一些小小的经验。就着工作的间隙,把这些东西慢慢的写 出来和大家共享。   如果有什么错误和不当的地方,请大家留贴指正。 作者:core-logic 回复日期:2005-12-26 16:12:53    从什么地方开始讲呢?就从产业链开始吧。   有需求就有生产就有市场。   市场需求(或者潜在的市场需求)的变化是非常快的,尤其是消费类电子产品。 这类产品不同于DRAM,在市场上总是会有大量的需求。也正是这种变化多端的市场需 求,催生了两个种特别的半导体行业--Fab和FabLessDesignHouse。   我这一系列的帖子主要会讲Fab,但是在一开头会让大家对Fab周围的东西有个基 本的了解。   像Intel、Toshiba这样的公司,它既有Design的部分,也有生产的部分。这样的 庞然大物在半导体界拥有极强的实力。同样,像英飞凌这样专注于DRAM的公司,活得 也很滋润。至于韩国三星那是个什么都搞的怪物。这些公司,他们通常都有自己的设 计部门,自己生产自己的产品。有些业界人士把这一类的企业称之为IDM。   但是随着技术的发展,要把更多的晶体管集成到更小的Chip上去,Silicon Process的前期投资变得非常的大。一条8英寸的生产线,需要投资7~8亿美金;而一 条12英寸的生产线,需要的投资达12~15亿美金。能够负担这样投资的全世界来看也 没有几家企业,这样一来就限制了芯片行业的发展。准入的高门槛,使许多试图进入 设计行业的人望洋兴叹。   这个时候台湾半导体教父张忠谋开创了一个新的行业--foundry。他离开TI, 在台湾创立了TSMC,TSMC不做Design,它只为做Design的人生产Wafer。这样,门槛 一下子就降低了。随便几个小朋友,只要融到少量资本,就能够把自己的设计变成产 品,如果市场还认可这些产品,那么他们就发达了。同一时代,台湾的联华电子也加 入了这个行当,这就是我们所称的UMC,他们的老大是曹兴诚。--题外话,老曹对 七下西洋的郑和非常钦佩,所以在苏州的UMC友好厂(明眼人一看就知道是UMC在大陆 偷跑)就起名字为"和舰科技",而且把厂区的建筑造的非常有个性,就像一群将要 启航的战船。   ----想到哪里就说到哪里,大家不要见怪。   在TSMC和UMC的扶植下,FabLessDesignHouse的成长是非常可观的。从UMC中 分离出去的一个  小小的DesignGroup成为了著名的"股神"联发科。当年它的VCD/DVD相关芯片红透全世界,股票也涨得令人难以置信。我认识一个台湾人的老婆,在联发科做Support工作,靠它的股票在短短的四年内赚了2亿台币,从此就再也不上班了。FabLessDesignHouse的成功让很多的人大跌眼镜。确实,单独维持Fab的成本太高了,所以很多公司就把自己的Fab剥离出去,单独来做Design。   Foundry专注于Wafer的生产,而FabLessDesignHouse专注于Chip的设计,这 就是分工。大家都不能坏了行规。如果FabLessDesignHouse觉得自己太牛了,想 要自建Fab来生产自己的Chip,那会遭到Foundry的抵制,像UMC就利用专利等方法强 行收购了一家FabLessDesignHouse辛辛苦苦建立起来的Fab。而如果Foundry自己 去做Design,那么FabLessDesignHouse就会心存疑惑--究竟自己的Pattern  Design会不会被对方盗取使用?结果导致Foundry的吸引力降低,在产业低潮的时候 就会被FabLessDesignHouse抛弃。   总体来讲,FabLessDesignHouse站在这个产业链的最高端,它们拥有利润的 最大头,它们投入小,风险高,收益大。其次是Foundry(Fab),它们总能拥有可观的 利润,它们投入大,风险小,受益中等。再次是封装测试(Package&Testing),它 们投入中等,风险小,收益较少。   当然,这里面没有记入流通领域的分销商。事实上分销商的收益和投入是无法想 象和计量的。我认识一个分销商,他曾经把MP3卖到了50%的利润,但也有血本无归的 时候。   所以DesignHouse是"三年不开张,开张吃三年。"而Fab和封装测试则是赚个 苦力钱。对于Fab来讲,同样是0.18um的8英寸Wafer,价格差不多,顶多根据不同的 Metal层数来算钱,到了封装测试那里会按照封装所用的模式和脚数来算钱。这样Fab 卖1200美元的Wafer被Designer拿去之后,实际上卖多少钱就与Fab它们没有关系了, 也许是10000美元,甚至更高。但如果市场不买账,那么DesignHouse可能就直接完 蛋了,因为它的钱可能只够到Fab去流几个Lot的。    作者:core-logic 回复日期:2005-12-26 17:44:19      我的前老板曾经在台湾TSMC不小心MO,结果跑死掉一批货,结果导致一家Design House倒闭。题外话--Fab的小弟小妹看到动感地带的广告都气坏了,什么"没事MO 一下",这不找抽吗?没事MO(MissOperation)一下,一批货25片损失两万多美元 ,奖金扣光光,然后被fire。   在SMIC,我带的一个工程师MO,结果导致一家海龟的DesignHouse直接关门放狗 。这个小子很不爽的跳槽去了一家封装厂,现在混得也还好。   所以现在大家对Fab的定位应该是比较清楚的了。   Fab有过一段黄金时期,那是在上个世纪九十年代末。TSMC干四年的普通工程师 一年的股票收益相当于100个月的工资(本薪),而且时不时的公司就广播,"总经 理感谢大家的努力工作,这个月加发一个月的薪水。"   但是过了2001年,也就是SMIC等在大陆开始量产以来,受到压价竞争以及市场不 景气的影响,Fab的好时光就一去不复返了。高昂的建厂费用,高昂的成本折旧,导 致连SMIC这样产能利用率高达90%的Fab还是赔钱。这样一来,股票的价格也就一落千 丈,其实不光是SMIC,像TSMC、UMC的股票价格也大幅下滑。   但是已经折旧折完的Fab就过得很滋润,比如先进(ASMC),它是一个5英寸、6 英寸的Fab,折旧早完了,造多少赚多少,只要不去盖新厂,大家分分利润,日子过 的好快活。   所以按照目前中国大陆这边的状况,基本所有的Fab都在盖新厂,这样的结论就 是:很长的一段时间内,Fab不会赚钱,Fab的股票不会大涨,Fab的工程师不会有过 高的收入。   虽然一直在亏本,但是由于亏本的原因主要是折旧,所以Fab总能保持正的现金 流。而且正很多。所以结论是:Fab赔钱,但绝对不会倒闭。如果你去Fab工作,就不 必担心因为工厂倒闭而失业。 下面讲讲Fab对人才的需求状况。   Fab是一种对各类人才都有需求的东西。无论文理工,基本上都可以再Fab里找到  职位。甚至学医的MM都在SMIC找到了厂医的位置。很久以前有一个TSMC工程师的帖子,他说Fab对人才的吸纳是全方位的。(当然坏处也就是很多人才的埋没。)有兴趣的网友可以去找来看看。   一般来讲,文科的毕业生可以申请Fab厂的HR,法务,文秘,财会,进出口,采 购,公关之类的职位。但是由于是Support部门这些位置的薪水一般不太好。那也有些厉害的MM选择做客户工程师(CE)的,某些MM居然还能做成制程工程师,真是佩服啊佩服。  理工科的毕业生选择范围比较广:计算机、信息类的毕业生可以选择作IT,在Fab厂能够学到一流的CIM技术,但是由于不受重视,很多人学了本事就走人先了。工程类的毕业生做设备(EE)的居多,一般而言,做设备不是长久之计。可以选择做几年设备之后转制程,或者去做厂商(vendor),钱会比较多。当然,也有少数人一直做设备也发展得不错。比较不建议去做厂务。   材料、物理类的毕业生做制程(PE)的比较多,如果遇到老板不错的话,制程倒是可以常做的,挺两年,下面有了小弟小妹就不用常常进Fab了(批注:经典,哈哈)。如果做的不爽,可以转PIE或者TD,或者厂商也可以,这个钱也比较多。   电子类的毕业生选择做制程整合,也就是Integration(PIE)得比较多,这个是 在Fab里主导的部门,但如果一开始没有经验的话,容易被PE忽悠。所以如果没有经 验就去做PIE的话,一定要跟着一个有经验的PIE,不要管他是不是学历比你低。   所有硕士或者以上的毕业生,尽量申请TD的职位,TD的职位比较少做杂七杂八的事情。但是在工作中需要发挥主动性,不然会学不到东西,也容易被PIE之类的人骂。   将来有兴趣去做封装、测试的人可以选择去做产品工程师(PDE)。   有兴趣向Design转型的人可以选择去做PIE或者PDE。   喜欢和客户打交道的人可以选择去做客户工程师CE,这个位置要和PIE搞好关系 ,他们的Support是关键。   有虐待别人倾向,喜欢看着他人无助神情的人可以考虑去做QE。QE的弟兄把 PIE/PE/EE/TD/PDE之类的放挺简直太容易了。:)   其他的想到再说。 先转贴一些词汇表,免得到时候冒些个专有名词大家不好理解:    1ActiveArea主动区(工作区)主动晶体管(ACTIVETRANSISTOR)被制造的区域即所谓的主动区(ACTIVEAREA)。在标准之MOS制造过程中ACTIVEAREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVEAREA会受到鸟嘴(BIRD"SBEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD"SBEAK存在,也就是说ACTIVEAREA比原在之氮化硅光罩所定义的区域小0.5UM。 2ACTONE丙酮1.丙酮是有机溶剂的一种,分子式为CH3COCH3。2.性质为无色 ,具刺激性及薄荷臭味之液体。3.在FAB内之用途,主要在于黄光室内正光阻之清洗 、擦拭。4.对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮 肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕 吐、目眩、意识不明等。5.允许浓度1000PPM。   3ADI显影后检查1.定义:AfterDevelopingInspection之缩写2.目的:检 查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良 …等即予修改,以维护产品良率、品质。3.方法:利用目检、显微镜为之。   4AEI蚀刻后检查1.定义:AEI即AfterEtchingInspection,在蚀刻制程光 阻去除前及光阻去除后,分别对产品实施全检或抽样检查。2.目的:2-1提高产品良 率,避免不良品外流。2-2达到品质的一致性和制程之重复性。2-3显示制程能力之指 针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改, 因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生  产成本增高,以及良率降低之缺点。   5AIRSHOWER空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故 ,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。   6ALIGNMENT对准1.定义:利用芯片上的对准键,一般用十字键和光罩上的对 准键合对为之。2.目的:在IC的制造过程中,必须经过6~10次左右的对准、曝光来 定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。3.方法:A.人 眼对准B.用光、电组合代替人眼,即机械式对准。   7ALLOY/SINTER熔合Alloy之目的在使铝与硅基(SiliconSubstrate)之接触有 Ohmic特性,即电压与电流成线性关系。Alloy也可降低接触的阻值。   8AL/SI铝/硅靶此为金属溅镀时所使用的一种金属合金材料利用Ar游离的离 子,让其撞击此靶的表面,把Al/Si的原子撞击出来,而镀在芯片表面上,一般使用 之组成为Al/Si(1%),将此当作组件与外界导线连接。   9AL/SI/CU铝/硅/铜金属溅镀时所使用的原料名称,通常是称为TARGET,其 成分为0.5﹪铜,1﹪硅及98.5﹪铝,一般制程通常是使用99﹪铝1﹪硅,后来为了金 属电荷迁移现象(ELECTROMIGRATION)故渗加0.5﹪铜,以降低金属电荷迁移。   10ALUMINUN铝此为金属溅镀时所使用的一种金属材料,利用Ar游离的离子, 让其撞击此种材料做成的靶表面,把Al的原子撞击出来,而镀在芯片表面上,将此当 作组件与外界导线之连接。   11ANGLELAPPING角度研磨AngleLapping的目的是为了测量Junction的深度 ,所作的芯片前处理,这种采用光线干涉测量的方法就称之AngleLapping。公式为 Xj=λ/2NF即Junction深度等于入射光波长的一半与干涉条纹数之乘积。但渐渐的随 着VLSI组件的缩小,准确度及精密度都无法因应。如SRP(SpreadingResistance Prqbing)也是应用AngleLapping的方法作前处理,采用的方法是以表面植入浓度与 阻值的对应关系求出Junction的深度,精确度远超过入射光干涉法。   12ANGSTRON埃是一个长度单位,其大小为1公尺的百亿分之一,约为人的头发 宽度之五十万分之一。此单位常用于IC制程上,表示其层(如SiO2,Poly,SiN….) 厚度时用。   13APCVD(ATMOSPRESSURE)常压化学气相沉积APCVD为Atmosphere(大气), Pressure(压力),Chemical(化学),Vapor(气相)及Deposition(沉积)的缩写,也就 是说,反应气体(如SiH4(g),B2H6(g),和O2(g))在常压下起化学反应而生成一层 固态的生成物(如BPSG)于芯片上。   14AS75砷自然界元素之一;由33个质子,42个中子即75个电子所组成。半导 体工业用的砷离子(As+)可由AsH3气体分解得到。砷是N-TYPEDOPANT常用作N-场 区、空乏区及S/D植入。   15ASHING,STRIPPING电浆光阻去除1.电浆预处理,系利用电浆方式( Plasma),将芯片表面之光阻加以去除。2.电浆光阻去除的原理,系利用氧气在电 浆中所产生只自由基(Radical)与光阻(高分子的有机物)发生作用,产生挥发性 的气体,再由帮浦抽走,达到光阻去除的目的。3.电浆光组的产生速率通常较酸液 光阻去除为慢,但是若产品经过离子植入或电浆蚀刻后,表面之光阻或发生碳化或石 墨化等化学作用,整个表面之光阻均已变质,若以硫酸吃光阻,无法将表面已变质之 光阻加以去除,故均必须先以电浆光阻去除之方式来做。   16ASSEMBLY晶粒封装以树酯或陶瓷材料,将晶粒包在其中,以达到保护晶粒 ,隔绝环境污染的目的,而此一连串的加工过程,即称为晶粒封装(Assembly)。封 装的材料不同,其封装的作法亦不同,本公司几乎都是以树酯材料作晶粒的封装,制  程包括:芯片切割→晶粒目检→晶粒上「架」(导线架,即Leadframe)→焊线→模 压封装→稳定烘烤(使树酯物性稳定)→切框、弯脚成型→脚沾锡→盖印→完成。以 树酯为材料之IC,通常用于消费性产品,如计算机、计算器,而以陶瓷作封装材料之 IC,属于高性赖度之组件,通常用于飞弹、火箭等较精密的产品上。   17BACKGRINDING晶背研磨利用研磨机将芯片背面磨薄以便测试包装,着重的 是厚度均匀度及背面之干净度。一般6吋芯片之厚度约20mil~30mil左右,为了便于 晶粒封装打线,故需将芯片厚度磨薄至10mil~15mil左右。   18BAKE,SOFTBAKE,HARDBAKE烘烤,软烤,预烤烘烤(Bake):在集成电路 芯片上的制造过程中,将芯片至于稍高温(60℃~250℃)的烘箱内或热板上均可谓 之烘烤,随其目的的不同,可区分微软烤(Softbake)与预烤(Hardbake)。软烤 (Softbake):其使用时机是在上完光阻后,主要目的是为了将光阻中的溶剂蒸发 去除,并且可增加光阻与芯片之附着力。预烤(Hardbake):又称为蚀刻前烘烤( pre-etchbake),主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不全长会造成过蚀刻。   19BF2二氟化硼•一种供做离子植入用之离子。•BF2+是由BF3+气 体晶灯 丝加热分解成:B10、B11、F19、B10F2、B11F2。经Extract拉出及质谱磁场分析后 而得到。•是一种P-type离子,通常用作VT植入(闸层)及S/D植入。   20BOAT晶舟Boat原意是单木舟,在半导体IC制造过程中,常需要用一种工具 作芯片传送、清洗及加工,这种承载芯片的工具,我们称之为Boat。一般Boat有两种 材质,一是石英、另一是铁氟龙。石英Boat用在温度较高(大于300℃)的场合。而 铁氟龙Boat则用在传送或酸处理的场合。   21B.O.E缓冲蚀刻液BOE是HF与NH4F依不同比例混合而成。6:1BOE蚀刻即表示 HF:NH4F=1:6的成分混合而成。HF为主要的蚀刻液,NH4F则作为缓冲剂使用。利用 NH4F固定〔H+〕的浓度,使之保持一定的蚀刻率。HF会浸蚀玻璃及任何含硅石的物 质,对皮肤有强烈的腐蚀性,不小心被溅到,应用大量水冲洗。   22BONDINGPAD焊垫焊垫-晶利用以连接金线或铝线的金属层。在晶粒封装( Assembly)的制程中,有一个步骤是作"焊线",即是用金线(塑料包装体)或铝线 (陶瓷包装体)将晶粒的线路与包装体之各个接脚依焊线图(BondingDiagram)连 接在一起,如此一来,晶粒的功能才能有效地应用。由于晶粒上的金属线路的宽度即 间隙都非常窄小,(目前SIMC所致的产品约是微米左右的线宽或间隙),而用来连接 用的金线或铝线其线径目前由于受到材料的延展性即对金属接线强度要求的限制,祇 能做到1.0~1.3mil(25.4~33j微米)左右,在此情况下,要把二、三十微米的金属 线直接连接到金属线路间距只有3微米的晶粒上,一定会造成多条铝线的接桥,故晶 粒上的铝路,在其末端皆设计成一个约4mil见方的金属层,此即为焊垫,以作为接线 使用。焊垫通常分布再晶粒之四个外围上(以粒封装时的焊线作业),其形状多为正 方形,亦有人将第一焊线点作成圆形,以资辨识。焊垫因为要作接线,其上得护层必 须蚀刻掉,故可在焊垫上清楚地看到"开窗线"。而晶粒上有时亦可看到大块的金属 层,位于晶粒内部而非四周,其上也看不到开窗线,是为电容。   23BORON硼自然元素之一。由五个质子及六个中子所组成。所以原子量是11。 另外有同位素,是由五个质子及五个中子所组成原子量是10(B10)。自然界中这两 种同位素之比例是4:1,可由磁场质谱分析中看出,是一种P-type的离子(B11+) ,用来作场区、井区、VT及S/D植入。   24BPSG含硼及磷的硅化物BPSG乃介于Poly之上、Metal之下,可做为上下两层  绝缘之用,加硼、磷主要目的在使回流后的Step较平缓,以防止Metalline溅镀上去 后,造成断线。   25BREAKDOWNVOLTAGE崩溃电压反向P-N接面组件所加之电压为P接负而N接正,如为此种接法则当所加电压通在某个特定值以下时反向电流很小,而当所加电压值 大于此特定值后,反向电流会急遽增加,此特定值也就是吾人所谓的崩溃电压( BREAKDOWNVOLTAGE)一般吾人所定义反向P+-N接面之反向电流为1UA时之电压为崩溃电压,在P+-N或N+-P之接回组件中崩溃电压,随着N(或者P)之浓度之增 加而减小。   26BURNIN预烧试验「预烧」(Burnin)为可靠性测试的一种,旨在检验出 哪些在使用初期即损坏的产品,而在出货前予以剔除。预烧试验的作法,乃是将组件 (产品)至于高温的环境下,加上指定的正向或反向的直流电压,如此残留在晶粒上 氧化层与金属层之外来杂质离子或腐蚀性离子将容易游离而使故障模式(Failure Mode)提早显现出来,达到筛选、剔除「早期夭折」产品之目的。预烧试验分为「静 态预烧」(StaticBurnin)与「动态预烧」(DynamicBurnin)两种,前者在试 验时,只在组件上加上额定的工作电压即消耗额定的功率,而后者除此外并有仿真实 际工作情况的讯号输入,故较接近实际状况,也较严格。基本上,每一批产品在出货 前,皆须作百分之百的预烧试验,馾由于成本及交货其等因素,有些产品旧祇作抽样 (部分)的预烧试验,通过后才出货。另外对于一些我们认为它品质够稳定且够水准 的产品,亦可以抽样的方式进行,当然,具有高信赖度的产品,皆须通过百分之百的 预烧试验。   27CAD计算机辅助设计CAD:ComputerAidedDesign计算机辅助设计,此名词 所包含的范围很广,可泛称一切计算机为工具,所进行之设计;因此不仅在IC设计上 用得到,建筑上之设计,飞机、船体之设计,都可能用到。在以往计算机尚未广泛应 用时,设计者必须以有限之记忆、经验来进行设计,可是有了所谓CAD后,我们把一 些常用之规则、经验存入计算机后,后面的设计者,变可节省不少从头摸索的工作, 如此不仅大幅地提高了设计的准确度,使设计的领域进入另一新天地。   28CDMEASUREMENT微距测试CD:CriticalDimension之简称。通常于某一个 层次中,为了控制其最小线距,我们会制作一些代表性之量测图形于晶方中,通常置 于晶方之边缘。简言之,微距测量长当作一个重要之制程指针,可代表黄光制程之控 制好坏。量测CD之层次通常是对线距控制较重要之层次,如氮化硅、POLY、CONT、 MET…等,而目前较常用于测量之图形有品字型,L-BAR等。   29CH3COOH醋酸ACETICACID醋酸澄清、无色液体、有刺激性气味、熔点 16.63℃、沸点118℃。与水、酒精、乙醚互溶。可燃。冰醋酸是99.8﹪以上之纯化物 ,有别于水容易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激性,危 害性不大,被溅到用水冲洗。   30CHAMBER真空室,反应室专指一密闭的空间,常有特殊的用途:诸如抽真空 、气体反应或金属溅度等。针对此特殊空间之种种外在或内在环境:例如外在粒子数 (particle)、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最 佳反应条件。   31CHANNEL信道当在MOS晶体管的闸极上加上电压(PMOS为负,NMOS为正), 则闸极下的电子或电洞会被其电场所吸引或排斥而使闸极下之区域形成一反转层( InversionLayer),也就是其下之半导体P-type变成N-typeSi,N-type变成P-type Si,而与源极和汲极,我们旧称此反转层为"信道"。信道的长度"ChannelLength "对MOS组件的参数有着极重要的影响,故我们对POLYCD的控制需要非常谨慎。    32CHIP,DIE晶粒一片芯片(OR晶圆,即Wafer)上有许多相同的方形小单位 ,这些小单位及称为晶粒。同一芯片上每个晶粒都是相同的构造,具有相同的功能, 每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC,故每一芯片所能制造出 的IC数量是很可观的,从几百个到几千个不等。同样地,如果因制造的疏忽而产生的 缺点,往往就会波及成百成千个产品。   33CLT(CARRIERLIFETIME)截子生命周期一、定义少数戴子再温度平均时 电子被束缚在原子格内,当外加能量时,电子获得能量,脱离原子格束缚,形成自由 状态而参与电流岛通的的工作,但能量消失后,这些电子/电洞将因在结合因素回复 至平衡状态,因子当这些载子由被激发后回复平衡期间,称之为少数载子"LIFE TIME"二、应用范围1.评估卢管和清洗槽的干净度2.针对芯片之清洁度及损伤程度 对CLT值有影响为A.芯片中离子污染浓度及污染之金属种类B.芯片中结晶缺陷浓度   34CMOS互补式金氧半导体金属氧化膜半导体(MOS,METAL-OXIDE SEMICONDUCTOR)其制程程序及先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅( 或金属)作为闸极,利用家到闸极的电场来控制MOS组件的开关(导电或不导电)。 按照导电载子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和PMOS(由电 洞导电)。而互补式金氧半导体(CMOSCOMPLEMENTARYMOS)则是由NMOS及PMOS组合 而成,具有省电、抗噪声能力强、α-PARTICLE免疫力好等许多优点,是超大规模集 成电路(VLSI)的主流。   35COATING光阻覆盖将光阻剂以浸泡、喷雾、刷怖、或滚压等方法加于芯片上 ,称为光阻覆盖。目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一 个可旋转的芯片支持器上,适量的光阻剂加在芯片中央,然后芯片开始转动,芯片上 的光阻剂向外流开,很均匀的散在芯片上。要得到均匀的光阻膜,旋转速度必须适中 稳定。而旋转速度和光阻剂黏滞性绝应所镀光阻剂的厚度。光阻剂加上后,必须经过 软烤的步骤,以除去光阻剂中过多的溶剂,进而使光阻膜较为坚硬,同时增加光阻膜 与芯片的接合能力的主要方法就是在于适当调整软烤温度与时间。经过了以上的镀光 阻膜即软烤过程,也就是完成了整个光阻覆盖的步骤。   36CROSSSECTION横截面IC的制造基本上是由一层一层的图案堆积上去,而为 了了解堆积图案的构造,以改善制程或解决制程问题,经常会利用破坏性切割方式以 电子显微镜(SEM)来观察,而切割横截面、观察横截面的方式是其中较为普遍之一 种。   37C-VPLOT电容,电压圆译意为电容、电压图:也就是说当组件在不同状况下 ,在闸极上施以某一电压时,会产生不同之电容值(此电压可为正或负),如此组件 为理想的组件;也就是闸极和汲极间几乎没有杂质在里面(COMTAMINATION)。当外 界环境改变时(温度或压力),并不太会影响它的电容值,利用此可MONITORMOS组 件之好坏,一般△V<0.2为正常。   38CWQC全公司品质管制以往有些经营者或老板,一直都认为品质管制是品管 部门或品管主管的责任,遇到品质管制做不好时,即立即指责品质主管,这是不对的 。品质管制不是品质部门或某一单位就可以做好的,而是全公司每一部门全体人员都 参与才能做好。固品质管制为达到经营的目的,必须结合公司内所有部门全体人员协 力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种 事项确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货 、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品质管制 (CompanyWideQualityControl)。实施CWQC的目的最主要的就是要改善企业体质 ;即发觉问题的体质、重视计划的体质、重点指向的体质、重视过程的体质,以及全  员有体系导向的体质。   39CYCLETIME生产周期时间指原料由投入生产线到产品于生产线产生所需之 生产/制造时间。在TI-ACER,生产周期有两种解释:一为"芯片产出周期时间"( WAFER-OUTCYCLETIME ),一为"制程周期时间"(PROCESSCYCLETIME)"芯片 产出周期时间"乃指单一批号之芯片由投入到产出所需之生产/制造时间。"制程周 期时间"则指所有芯片于单一工站平均生产/制造时间,而各工站(从头至尾)平均 生产/制造之加总极为该制程之制程周期时间。目前TI-ACERLINEREPORT之生产周 期时间乃采用"制程周期时间"。一般而言,生产周期时间可以下列公式概略推算之 :生产周期时间=在制品(WIP)/产能(THROUGHOUT)   40CYCLETIME生产周期IC制造流程复杂,且其程序很长,自芯片投入至晶圆 测试完成,谓之CycleTime。由于IC生命周期很短,自开发、生产至销售,需要迅速 且能掌握时效,故CycleTime越短,竞争能力就越高,能掌握产品上市契机,就能获 取最大的利润。由于CycleTime长,不容许生产中的芯片因故报废或重做,故各项 操作过程都要依照规范进行,且要做好故障排除让产品流程顺利,早日出FIB上市销 售。   41DEFECTDENSITY缺点密度〝缺点密度〞系指芯片单位面积上(如每平方公 分、每平方英吋等)有多少〝缺点数〞之意,此缺点数一般可分为两大类:A.可视性 缺点B.不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线),由于 芯片制造过程甚为复杂漫长,芯片上缺点数越少,产品量率品质必然越佳,故〝缺点 密度〞常备用来当作一个工厂制造的产品品质好坏的指针。   42DEHYDRATIONBAKE去水烘烤目的:去除芯片表面水分,增加光阻附着力。 以免芯片表面曝光显影后光阻掀起。方法:在光阻覆盖之前,利用高温(120℃或150 ℃)加热方式为之。   43DENSIFY密化CVD沉积后,由于所沈积之薄膜(THINFILM之密度很低),故 以高温步骤使薄膜中之分子重新结合,以提高其密度,此种高温步骤即称为密化。密 化通常以炉管在800℃以上的温度完成,但也可在快速升降温机台(RTP;RAPID THERMALPROCESS)完成。   44DESCUM电浆预处理1.电浆预处理,系利用电浆方式(Plasma),将芯片表 面之光阻加以去除,但其去光阻的时间,较一般电浆光阻去除(Stripping)为短。 其目的只是在于将芯片表面之光阻因显影预烤等制程所造成之光阻毛边或细屑(Scum )加以去除,以使图形不失真,蚀刻出来之图案不会有残余。2.有关电浆去除光阻 之原理,请参阅「电浆光阻去除」(Ashing)。3.通常作电浆预处理,均以较低之 力,及小之功率为之,也就是使光阻之蚀刻率降低得很低,使得均匀度能提高,以保 持完整的图形,达到电浆预处理的目的。   45DESIGNRULE设计规范由于半导体制程技术,系一们专业、精致又复杂的技 术,容易受到不同制造设备制程方法(RECIPE)的影响,故在考虑各项产品如何从事 制造技术完善,成功地制造出来时,需有一套规范来做有关技术上之规定,此即" DESIGNRULE",其系依照各种不同产品的需求、规格,制造设备及制程方法、制程 能力、各项相关电性参数规格等之考虑,订正了如:1.各制程层次、线路之间距离 、线宽等之规格。2.各制程层次厚度、深度等之规格。3.各项电性参数等之规格。 以供产品设计者及制程技术工程师等人之遵循、参考。   46EDSIGNRULE设计准则设计准则EDSIGNRULE:反应制程能力及制程组件参 数,以供IC设计者设计IC时的参考准则。一份完整的DesignRule包括有下列各部分 :A.制程参数:如氧化层厚度、复晶、金属层厚度等,其它如流程、ADI、AEI参数  。主要为扩散与黄光两方面的参数。B.电气参数:提供给设计者做仿真电路时之参考 。C.布局参数:及一般所谓的3μm、2μm、1.5μm…等等之Rules,提供布局原布局 之依据。D.光罩制作资料:提供给光罩公司做光罩时之计算机资料,如CDBAR、测试 键之摆放位置,各层次之相对位置之摆放等。   47DIEBYDIEALIGNMENT每FIELD均对准每个Field再曝光前均针对此单一 Field对准之方法称之;也就是说每个Field均要对准。   48DIFFUSION扩散在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐 渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业 上常在很纯的硅芯片上以预置或离子布植的方式作扩散源(即红墨水)。因固态扩散 比液体扩散慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成 。   49DIWATER去离子水IC制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。 这些步骤之后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。然而IC。 工业用水,并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而 成。原来自来水或地下水中含有大量的细菌、金属离子级PARTICLE,经厂务的设备将 之杀菌、过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为〝去离子水〞 ,专供IC制造之用。   50DOPING参入杂质为使组件运作,芯片必须参以杂质,一般常用的有:1.预 置:在炉管内通以饱和的杂质蒸气,使芯片表面有一高浓度的杂质层,然后以高温使 杂质驱入扩散;或利用沉积时同时进行预置。2.离子植入:先使杂质游离,然后加速 植入芯片。   51DRAM,SRAM动态,静态随机存取内存随机存取记忆器可分动态及静态两种 ,主要之差异在于动态随机存取内存(DRAM),在一段时间(一般是0.5ms~5ms)后 ,资料会消失,故必须在资料未消失前读取元资料再重写(refresh),此为其最大 缺点,此外速度较慢也是其缺点,而DRAM之最大好处为,其每一记忆单元(bit)指 需一个Transistor(晶体管)加一个Capacitor(电容器),故最省面积,而有最高 之密度。而SRAM则有不需重写、速度快之优点,但是密度低,每一记忆单元(bit) 有两类:A.需要六个Transistor(晶体管),B.四个Transistor(晶体管)加两个 Loadresistor(负载电阻)。由于上述之优缺点,DRAM一般皆用在PC(个人计算机 )或其它不需高速且记忆容量大之记忆器,而SRAM则用于高速之中大型计算机或其它 只需小记忆容量。如监视器(Monitor)、打印机(Printer)等外围控制或工业控制 上。   52DRIVEIN驱入离子植入(ionimplantation)虽然能较精确地选择杂质数 量,但受限于离子能量,无法将杂质打入芯片较深(um级)的区域,因此需借着原子 有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散道教深 的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的 缺陷消除。此方法称之驱入。在驱入时,常通入一些氧气,因为硅氧化时,会产生一 些缺陷,如空洞(Vacancy),这些缺陷会有助于杂质原子的扩散速度。另外,由于 驱入世界原子的扩散,因此其方向性是各方均等,甚至有可能从芯片逸出( out-diffusion),这是需要注意的地方。   53E-BEAMLITHOGRAPHY电子束微影技术目前芯片制作中所使用之对准机,其 曝光光源波长约为(365nm~436nm),其可制作线宽约1μ之IC图形。但当需制作更 细之图形时,则目前之对准机,受曝光光源波长之限制,而无法达成,因此在次微米 之微影技术中,及有用以电子数为曝光光源者,由于电子束波长甚短(~0.1A),故  可得甚佳之分辨率,作出更细之IC图型,此种技术即称之电子束微影技术。电子束微 影技术,目前已应用于光罩制作上,至于应用于光芯片制作中,则仍在发展中。   54EFR(EARLYFAILURERATE)早期故障率EarlyFailureRate是产品可靠度 指针,意谓IC到客户手中使用其可能发生故障的机率。当DRAM生产测试流程中经过 BURN-IN高温高压测试后,体质不佳的产品便被淘汰。为了确定好的产品其考靠度达 到要求,所以从母批中取样本做可靠度测试,试验中对产品加高压高温,催使不耐久 的产品故障,因而得知产品的可靠度。故障机率与产品生命周期之关系类似浴缸,称 为BathtubCurve.   55ELECTROMIGRATION电子迁移所谓电子迁移,乃指在电流作用下金属的质量 会搬动,此系电子的动量传给带正电之金属离子所造成的。当组件尺寸越缩小时,相 对地电流密度则越来越大;当此大电流经过集成电路中之薄金属层时,某些地方之金 属离子会堆积起来,而某些地方则有金属空缺情形,如此一来,堆积金属会使邻近之 导体短路,而金属空缺则会引起断路。材料搬动主要原动力为晶界扩散。有些方法可 增加铝膜导体对电迁移之抗力,例如:与铜形成合金,沉积时加氧等方式。   56ELECTRON/HOLE电子/电洞电子是构成原子的带电粒子,带有一单位的负电 荷,环绕在原子核四周形成原子。垫洞是晶体中在原子核间的共享电子,因受热干扰 或杂质原子取代,电子离开原有的位置所遗留下来的"空缺"因缺少一个电子,无法 维持电中性,可视为带有一单位的正电荷。   57ELLIPSOMETER椭圆测厚仪将已知波长之射入光分成线性偏极或圆偏极,照 射在待射芯片,利用所得之不同椭圆偏极光之强度讯号,以Fourier分析及Fresnel方 程式,求得待测芯片模厚度   58EM(ELECTROMIGRATIONTEST)电子迁移可靠度测试当电流经过金属导线 ,使金属原子获得能量,沿区块边界(GRAINBounderies)扩散(Diffusion),使 金属线产生空洞(Void),甚至断裂,形成失效。其对可靠度评估可用电流密度线性 模型求出:AF=【J(stress)/J(op)】n×exp【Ea/Kb(1/T(op)-1/T(stress ))】TF=AF×T(stress)   59ENDPOINTDETECTOR终点侦测器在电浆蚀刻中,利用其反应特性,特别设 计用以侦测反应何时完成的一种装置。一般终点侦测可分为下列三种:A.雷射终点侦 测器(LaserEndpointDetector):利用雷射光入射反应物(即芯片)表面,当 时颗发生时,反应层之厚度会逐渐减少,因而反射光会有干扰讯号产生,当蚀刻完成 时,所接收之讯号亦已停止变化,即可测得终点。B.激发光终点侦测器(Optical EmissionEndPointDetector)用一光谱接受器,接受蚀刻反应中某一反应副产物 (Byproduct)所激发之光谱,当蚀刻反应逐渐完成,此副产物减少,光谱也渐渐变 弱,即可侦测得其终点。C.时间侦测器:直接设定反应时间,当时间终了,即结束其 反应。   60ENERGY能量能量是物理学之专有名词。例如:B比A之电压正100伏,若在A 板上有一电子受B版正电吸引而加速跑到B版,这时电子在B版就比在A版多了100电子 伏特的能量。   61EPIWAFER磊晶芯片磊晶系在晶体表面成长一层晶体。   62EPROM(ERASABLE-PROGRAMMABLEROM)电子可程序只读存储器MASKROM内 所存的资料,是在FAB内制造过程中便已设定好,制造完后便无法改变,就像任天 堂游戏卡内的MASKROM,存的是金牌玛丽就无法变成双截龙。而EPROM是在ROM内加一 个特殊结构叫AFAMDS,它可使ROM内的资料保存,但当紫外光照到它时,它会使ROM 内的资料消失。每一个晶忆单位都归口。然后工程人员再依程序的规范,用30瓦左右  的电压将0101….资料灌入每一个记忆单位。如此就可灌电压、紫外光重复使用,存 入不同的资料。也就是说如果任天堂卡内使用的是EPROM,那么你打腻了金牌玛丽, 然后灌双截龙的程序进去,卡匣就变成双截龙卡,不用去交换店交换了。   63ESDELECTROSTATICDAMAGEELECTROSTATICDISCHARGE静电破坏静电放电1自 然界之物质均由原子组成,而原子又由质子、中子及电子组成。在正常状态下,物质 成中性,而在日常活动中,会使物质失去电子,或得到电子,此即产生一静电,得到 电子之物质为带负静电,失去电子即带正静电。静电大小会随着日常的工作环境而有 所不同。如下表所示。活动情形静电强度(Volt)   10-20﹪相对湿度65-95﹪相对湿度   走过地毯走过塑料地板在以子上工作拿起塑料活页夹,袋拿起塑料带工作椅垫摩 擦35,00012,0006,0007,00020,00018,0001,01,00015,000   表1日常工作所产生的静电强度表2.当物质产生静电后,随时会放电,弱放到子 组件上,例如IC,则会将组件破坏而使不能正常工作,此即为静电破坏或静电放电。 3.防止静电破坏方法有二:A.在组件设计上加上静电保护电路。B.在工作环境上减少 静电,例如工作桌之接地线,测试员之静电环。载运送上使用防静电胶套及海绵等等 。   64ETCH蚀刻在集成电路的制程中,常需要将整个电路图案定义出来,其制造 程序通常是先长出或盖上一层所需要之薄膜,在利用微影技术在这层薄膜上,以光阻 定义出所欲制造之电路图案,再利用化学或物理方式将不需要之部分去除,此种去除 步骤便称为蚀刻(ETCH)一般蚀刻可分为湿性蚀刻(WETETCH)及干性蚀刻(DRY ETCH)两种。所谓干性蚀刻乃是利用化学品(通常是盐酸)与所欲蚀刻之薄膜起化学 反应,产生气体或可溶性生成物,达到图案定义之目的。而所谓干蚀刻,则是利用干 蚀刻机台产生电浆,将所欲蚀刻之薄膜反映产生气体由PUMP抽走,达到图案定义之目 的。   65EXPOSURE曝光其意义略同于照相机底片之感光在集成电路之制造过程中, 定义出精细之光组图形为其中重要的步骤,以运用最广之5XSTEPPER为例,其方式为 以对紫外线敏感之光阻膜作为类似照相机底片,光罩上则有我们所设计之各种图形, 以特殊波长之光线(G-LINE436NM)照射光罩后,经过缩小镜片(REDUCTIONLENS) 光罩上之图形则成5倍缩小,精确地定义在底片上(芯片上之光阻膜)经过显影后, 即可将照到光(正光阻)之光阻显掉,而得到我们想要之各种精细图形,以作为蚀刻 或离子植入用。因光阻对于某特定波长之光线特别敏感,故在黄光室中早将一切照明 用光元过滤成黄色,以避免泛白光源中含有对光阻有感光能力之波长成分在,这一点 各相关人员应特别注意,否则会发生光线污染现象,而扰乱精细之光阻图。   66FABRICATION(FAB)制造Fabrication为"装配"或"制造"之意,与 Manufacture意思一样,半导体制造程序,其步骤繁多,且制程复杂,需要有非常精 密的设备和细心的作业,才能达到吴缺点的品质。FAB系Fabrication之缩写,指的是 "工厂"之意。我们常称FIB为"晶圆区",例如:进去"FAB"之前需穿上防尘衣。    67FBFC(FULLBITFUNCTIONCHIP)全功能芯片由于产品上会有缺陷,所以 有些芯片无法全功能工作。因此须要雷射修补前测试,以便找到缺陷位置及多寡,接 着就能利用雷射修补,将有缺陷的芯片修补成全功能的芯片。《当缺陷超过一定限度 时,无法修补成全功能芯片》   68FIELD/MOAT场区FIELD直译的意思是〝场〞,足球场和武道场等的场都叫做 FIELD。它的含意就是一个有专门用途的区域。在IC内部结构中,有一区域是隔离电  场的地方,通常介于两个MOS晶体管之间,称为场区。场区之上大部分会长一层厚的 氧化层。   69FILTRATION过滤用过滤器(FILTER,为一半透膜折叠而成)将液体或气体 中的杂质给过滤掉,此称为FILTRATION【过滤】因IC制造业对洁净式的要求是非常严 格的,故各种使用的液体或气体,必须借着一个PUMP制造压差来完成,如何炫则一组 恰当的过滤器及PUMP是首要的课题。   70FIT(FAILUREINTIME)FIT适用以表示产品可靠度的单位FIT=1Eailurein 109Device-Hours例如1000Device工作1000Hours后1Device故障,则该产品的可 靠度为:(1Failure)/(1000Devices*1000Hours)=1000FITs   71FOUNDRY客户委托加工客户委托加工主要是接受客户委托,生产客户自有权 利的产品,也就是客户提供光罩,由SMIC来生产制造,在将成品出售给客户,指收取 代工过程费用,这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就是硅代工 (SiliconFoundry)。   72FOURPOINTPROBE四点侦测•是量测芯片片阻值(SheetResistance)RS  的仪器。•原理如下:有ABCD四针,A、D间通以电流I,B、C两针量取电压差(△V)  ,则RS=K.△V/IK是常数比例和机台及针尖距离有关   73F/S(FINESONICCLEAN)超音波清洗超音波清洗的主要目的是用来去除附 着在芯片表面的灰尘,其反应机构有二:1.化学作用:利用SC-1中的NH4OH,H2O2与 Silicon表面反应,将灰尘剥除。2.2.物理作用:利用频率800KHz,功率450W×2的 超音波震荡去除灰尘。   74FTIR傅氏转换红外线光谱分析仪FTIR乃利用红外线光谱经傅利叶转换进而 分析杂质浓度的光谱分析仪器。目的:•已发展成熟,可Routine应用者,计有:  A.BPSG/PSG之含磷、含硼量预测。B.芯片之含氧、含碳量预测。C.磊晶之厚度量测 。•发展中需进一步Setup者有:A.氮化硅中氢含量预测。B.复晶硅中含氧量预测  。C.光阻特性分析。FTIR为一极便利之分析仪器,STD的建立为整个量测之重点,由 于其中多利用光学原理、芯片状况(i.e.晶背处理状况)对量测结果影响至钜。   75FTY(FINALTESTYIELD)在晶圆出厂后,必须经过包装及T1(断/短路测试 ),Burn-in(烧结),T3(高温功能测试),T4(低温功能测试),QA测试,方能 销售、出货至客户手中。在这段漫长而繁杂的测试过程中,吾人定义FinalTest Yield为:T1Yield*Burn-inYield*T3Yield*T4Yield   76FUKEDEFECT成因为硅化物之氧化,尤其是以水蒸气去致密化PBSG时会发生 ,造成闸极(PolyGate)与金属间的短路。硅化物之氧化可分为二类型:(以TiSi2 )1.热力学观点SiO2是最稳定,故Si扩散至TiSi2之表面时会与水反应成SiO2而非 TiO2。2.动力学观点而言,当Si不足时则会形成TiO2而将TiSi2分解。   77GATEOXIDE闸极氧化层GATEOXIDE是MOSFET(金氧半场效晶体管)中相当 重要的闸极之下的氧化层。此氧化层厚度较薄,且品质要求也较严格。   78GATEVALVE闸阀用来控制气体压力之控制装置。通常闸阀开启越大,气体 于反应室内呈现之压力较低;反之,开启越小,压力较高。   79GEC(GOODELECTRICALCHIP)优良电器特性芯片能够合于规格书(Data Book)上所定义电器特性的芯片。这些芯片才能被送往芯片包装工厂制成成品销售给  客户。   80GETTERING吸附"Gettering"系于半导体制程中,由于可能受到晶格缺陷 (CrystalDefect)或金属类杂质污染等之影响,造成组件接口之间可能有漏电流( JunctionLeakage)存在,而影响组件特性;如何将这些晶格缺陷、金属杂质摒除解 决的种种技术上作法,就叫做"Gettering"吸附。吸附一般又可分"内部的吸附 "---IntrinsicGettering及"外部的吸附"---ExtrinsicGettering。前者系在 下线制造之前先利用特殊高温步骤让晶圆表面的「晶格缺陷或含氧量」尽量降低。后 者系利用外在方法如:晶背伤言、磷化物(POCl3)预置ETC将晶圆表面的缺陷及杂质 等尽量吸附到晶圆背面。两者均可有效改善上述问题。   81G-LINEG-光线G-line系指一种光波的波长,多系水银灯所发出之光波波长 之一,其波长为436nm。G-line之光源,最常作为Stepper所用之水银灯,本来系由许 多不同之波长的光组成,利用一些Mirror和Filter反射、过滤的结果,会将其它波长 之光过滤掉,仅余G-line作为曝光用。使用单一波长作为曝光光源可以得到较佳的能 量控制和解吸力,但由于其为单色波故产生之驻波效应(StandingWave)对光阻图 案产生很大的影响。在选择最佳光阻厚度,以府合驻波效应,成为G-lineStanding 最要的工作之一。   82GLOBALALIGNMENT整片性对准与计算GlobalAlignment系指整片芯片在曝 光前,先作整片性之对准与计算,然后接着可做整片芯片之曝光。•GLOBAL ALIGNMENT分为两种:1普通的GlobalAlignment:每片芯片共对准左右两点。2 AdvanceGlobalAlignment:每片芯片对准预先设定好之指定数个Field的对准键, 连续对准完毕并晶计算机计算后,才整片曝光。   83GOI(GATEOXIDEINTEGRITY)闸极氧化层完整性半导体组件中,闸极氧化 层的完整与否关系着电容上电荷的存放能力,故需设计一适当流程,其主要目的在侧 闸极氧化层之崩溃电压(breakdownvoltage)、有效氧化层厚度等,以仿真闸极氧 化层的品质及可信赖度,通常即以此崩溃电压值表示GOI的优劣程度。   84GRAINSIZE颗粒大小一种晶体材料形成后,从微观的角度来看,材料都是 一大堆颗粒垒叠在一起而成。这些颗粒有大有小,尺寸不一。而且材料的特性也会因 为颗粒大小而变化,故常要注意其大小变化。   85GRRSTUDY(GAUGEREPEATABILITYANDREPRODUUCIBILITY)测量仪器重复 性与再现性之研究将良策仪器的重复性-一其本身的变异,再现性-操作人本身的 变异,用统计的方法算出,以判断量测仪器是否符合制程参数控制之需要。   86H2SO4硫酸SuifuricAcid硫酸,为目前最广泛使用的工业化学品。强力腐 蚀性、浓稠、油状液体,依纯度不同,由无色至暗棕色,与水以各种不同比例互溶, 甚具活性。溶解大部分的金属。浓硫酸具氧化、脱水、磺化大部分的有机化合物,常 常引起焦黑。比重1.84,沸点315℃。与水混合时需格外小心,由于放热引起爆炸性 的溅泼,永远是将酸加到水中,而非加水至酸中。不小心被溅到,用大量水冲洗。目 前在线上,主要用于SO清洗及光阻去除。   87H3PO4磷酸PHOSPHORICACID磷酸无色无谓起泡液体或透明晶形固体。依温 度、浓度而定。在20℃50﹪及75﹪强度为易流动液体,85﹪为似糖浆,100﹪酸为晶 体。比重1.834,熔点42.35℃。在213℃失去Y2H2O,形成焦磷酸。溶于水、乙醚, 能腐蚀铁及合金。对皮肤、眼睛有刺激性,不小心溅到,可用水冲洗。目前磷酸用于 SI3N4的去除,浓度是85﹪,沸点156℃,SI3N4与SIO2的蚀刻比约为30:1。   88HCL氯化氢(盐酸)HydrochloricAcid盐酸,为无色或淡黄色,发烟,刺 激性液体。氯化氢的水溶液。盐酸是一种强烈酸性及高腐蚀性酸。市面出售之"浓"  或发烟酸含有氯化氢38%,比重1.19。氯化氢溶解在水中有各种不同的浓度。可溶于 水、酒精、苯、不可燃。用途广泛。可用于食品加工、金属之酸洗与清洁、工业酸化 、一般之清洗、实验试药。不小心被溅到,用大量水冲洗。目前线上,主要用于RCA 清洗。   89HEPA高效率过滤器HEPA(HighEfficiencyParticulateAirFilter)为 洁净室内用以滤去微粒之装置,一般以玻璃纤维制成,可将0.1μm或0.3μm以上之 微粒滤去99.97﹪,压力损失约12.5㎜H2O。层流台能保持Class100以下之洁净度, 即靠HEPA达成。目前除层流台使用HEPA外,其它如烤箱、旋转机,为了达到控制 Particle的效果,也都装有HEPA之设计。   90HILLOCK凸起物金属溅镀后为使金属与硅基(Si-Substrate)有良好的欧姆 式接触需先经融合过程,在融合过程中因铝与硅的热膨胀系数不同(铝将会膨胀较快 ),而造成部分的铝无法向外扩张只得向上膨胀造成小山丘状的"凸起物" --Hillock。   91HMDSHMDS蒸镀HMD原为化学药品HexaMethylDiSilazane的缩写,在此则是指 芯片在上光阻前的一个预先处理步骤。HMDS蒸镀就是利用惰性气体(例如氮气)带着 HMDS的蒸汽通过芯片表面,而在芯片表面形成一层薄膜。其目的在于:A.消除芯片表 面的微量水分。B.防止空气中的水汽再次吸附于晶面C.增加光阻剂(尤其是正光阻) 对于晶面的附着能力,进而减少在尔后之显影过程中产生掀起,或是在蚀刻时产 生了"Undercutting"的现象。目前在规范中规定于HMDS蒸镀完4小时内需上光阻以 确保其功能。   92HNO3硝酸NITRICACID硝酸透明、无色或微黄色、发烟、易吸湿之腐蚀性液 体,能腐蚀大部分金属。歧黄色是由于曝光所产生之二氧化氮,为强氧化剂,可与水 混合,沸点78℃,比重1.504。IC产业中硝酸用于清洗炉管,但对皮肤有腐蚀性,为 强氧化剂,与有机物接触有起火危险。清洗炉管用。   93HOTELECTRONEFFECT热电子效应在VLST的时代,ShortChannelDevices 势在必行,而目前一般Circuit应用上又未打算更改SupplyVoltage;如此一来, VG=VDS=5V情况下,将造成ImpactIonization(撞击游离化)现象发生于Drain邻近 区域。伴随而生之Electron-Holepairs(电子电洞对),绝大部分经由Drain( Electrons)orSub.(Holes)导流掉。但基于统计观点,总会有少部分Electrons( i.e.Hot-Electrons)所具Energy,足以克服Si-SiO2之BarrierHeight(能障), 而射入SiO2且深陷(Trap)其中。另亦有可能在Hot-Electrons射入过程中打断Si-H 键结,而形成InterfaceTrap于Si-SiO2接口。不论遵循上述二者之任一,均将导致 NMOSPerformance的退化(Degradation)现象。   94I-LINESTEPPERI-LINE步进对准曝光机当光罩与芯片对准后,利用365nm之 波长为光源,将预坐在光罩上图形以M:1之比例,一步一步的重复曝光至芯片上之机 器。   95IMPURITY杂质纯粹的硅市金刚石结构,在室温下不易导电。这时如加一些 B11或As75取代硅的位置,就会产生"电洞"或"载子",加以偏压后就可轻易导 电。加入的东西即称为杂质。   96INTEGRATEDCIRCUIT(IC)集成电路集成电路是一九五八年由美国德州仪 器公司所发明的。他是将一个完整的电子电路处理在一块小小的硅芯片上,然后再以 金属联机与外在引线相接,外加陶瓷或塑料包装的装置,由于它能将原本需要许多零 件的电子电路集中缩小,因此被称为集成电路。它具备优于传统电子电路的三个特性 :体积小、廉价、可靠。依照其集积化的程度可区分为小型(SSI)、中型(MSI)、  大型(LSI)、超大型(VLSI)集成电路。   97IONIMPLANTER离子植入机在IC制程中有时需要精确地控制杂质的浓度及深 度,此时即不宜由扩散之方式为之,故以"离子植入机"解离特定气体后调整离子束 电流(BeamCurrent),计算电流X时间得到所植入杂质的浓度并利用加速电压控制 植入的深度。   98IONIMPLANTATION离子植入1.由于加速器集真空技术的发展,离子布植机 成为本世纪高科技产品之一,取代了早先的预置制程。2.其好处有:2-1可精确控制 剂量。2-2在真空下操作,可免除杂质污染。2-3可精确控制植入的深度。2-4是一种 低温的制程。2-5只要能游离,任何离子皆可植入   99ISOTROPICETCHING等向性蚀刻在蚀刻反应中,除了纵向反应发生外,横向 反应亦同时发生,此总蚀刻即称之为等向性蚀刻。一般化学湿蚀刻多发生此种现象。 干式蚀刻,其实刻后的横截面具有异向性蚀刻特性(Anisotropic),即可得到较陡 的图形。   100ITY(INTEGRATEDTESTYIELD)为界定产品从waferfab至组装、测试所有 流程的良率,其定义为:INTEGRATEDTESTYIELD=WaferYield*MPY*ATYNote: MPY:Multi-ProbeYieldATY:AssemblyTestYield   101LATCHUP栓锁效应当VLSI线路密度增加,Latch-Up之故障模式于MOSVLSI 中将愈来愈严重,且仅发生于CMOS电路,所有COMS电路西寄生晶体管所引起的 LATCH-UP问题称之为SCR(SILICON-CONYROLLEDRECTIFIER)LATCH-UP,在S1基体内 CMOS中形成两个双截子晶体管P-N-P-N形式的路径,有如一个垂直的P+-N-P与一个水 平N+-P-N晶体管组合形成于CMOS反向器,如果电压降过大或受到外界电压、电流或光 的触发时,将造成两个晶体管互相导过而短路,严重的话将使IC烧毁,故设计CMOS路 防止LATCH-UP的发生是当前IC界最重要的课题。   102LAYOUT布局此名词用在IC设计时,是指将设计者根据客户需求所设计之线 路,经由CAD(计算机辅助设计),转换成实际制作IC时,所需要之光罩布局,以便 去制作光罩。因此此一布局工作,关系到光罩制作出后是和原设计者之要求符何,因 此必须根据一定之规则,好比一场游戏一样,必须循一定之规则,才能顺利完成,而 布局完成后之图形便是IC工厂制作时所看到的光罩图形。   103LOADLOCK传送室用来隔绝反应室与外界大器直接接触,以确保反应室内 之洁净,降低反应是受污染之程度。一般用于电浆蚀刻及金属溅度等具有真空反应室 之设备。   104LOTNUMBER批号批号乃是为线上所有材料之身份证,KEYIN批号如同申报 流动户口,经由COMAX系统藉以管制追踪每批材料之所在站别,并得以查出每批材料 之详细相关资料,固为生产过程中之重要步骤。批号为7,其编排方法如下:XXX XX年码流水序号9200003以下类推※批号之产生乃于最投片时 由SMS系统自动产生。   105LPCVD(LOWPRESSURE)低压化学气相沉积LPCVD的全名是LowPressure ChemicalVaporDeposition,即低压化学气相沉积。这是一种沉积方法。在IC制程 中,主要在生成氮化硅、复晶硅、二氧化硅及非晶硅等不同材料。   106LPSINTER低压烧结低压烧结(LowPressureSinter,LPSinter),指 在低于大气压力下(一般为50Pa或更地),加热组件。目地在使金属膜内之原子, 籍由热运动重新排列,以减少原有之晶格缺陷,形成较佳之金属结晶颗粒以增加膜之 品质。由于在低压下热传导之途径主要为辐射(Radiation)而非对流(Convection )或传导(Conduction),因此控温之方式须选以加热线圈为监控温度(Spike  Control)而非实际芯片或管内之温度(ProfileControl),以避免过热( Over-Shooting)之现象。   107LPY(LASERPROBEYIELD)雷射修补前测试良率针测出能够被雷射修补后 ,产生出全功能的芯片,比便送入雷射修补机,完成雷射修补的动作。此测试时由全 功能芯片一开始就是全功能芯片,须要经过雷射修补前测试,计算出缺陷多寡及位置 ,以便进行雷射修补,将缺陷较少的芯片修补成全功能芯片。(缺陷超过一定限度时 无法修补成全功能芯片)   108MASK光罩MASK原意为面具,而事实上光罩在整个IC制作流程上,所扮演之 角色艺有几分神似。光ˋ照主要之用途在于利用光阻制程,将我们所需要之图形一直 复印在芯片上,制作很多之IC晶方。而光罩所用只对准机台,也分为1X,5X, 10X,MASK(即1:1,5:1,10:1)等,而根据其制作之材质又可分为石英光罩(QUARTY) ,绿玻璃光罩等。   109MICRO,MICROMETER,MICRON微,微米1.定义:Micro为10-61Micro=10-61 Micrometer=10-6m=1Micro=1μm通常我们说1μ即为10-6m又因为1?=10-8㎝ =10-10m(原子大小)故1μ=10,000?约唯一万个原子堆积而成的厚度或长度。   110MISALIGN对准不良1.定义:这层光阻图案和上层【即留在芯片上者】图案 叠对不好,超出规格。可依照不同层次的规格决定要不要修改。原因:人为、机台、 芯片弯曲、光罩   111MOS金氧半导体1.定义:构成IC的晶体管结构可分为两型-双载子型( bipolar)和MOS型(Metal-Oxide-Semiconductor)。双载子型IC的运算速度较快但 电力消耗较大,制造工程也复杂,并不是VLSI的主流,而MOS型是由电厂效应晶体管 (FET)集积化而成。先在硅上形成绝缘氧化膜之后,再由它上面的外加电极(金属 或复晶硅)加入电场来控制其动作,制程上比较简单,,。也较不耗电,最早成为实 用化的是P-MOS,但其动作速度较慢,不久更高速的N-MOS也被采用。一旦进入VLSI的 领域之后,NMOS的功率消耗还是太大了于是由P-MOS及N_MOS组合而成速度更高,电 力消耗更少的互补式金氧半导体(CMOS,ComplementaryMOS)遂成为主流。   112MPY(MULTIPROBEYIELD)多功能侦测良率针测出符合电路特性要求的芯 片,以便送刀封包工厂制成内存成品;此测试时得到的良品率称之。每片晶圆上并不 是每一个芯片都能符合电路特性的要求,因此须要多功能针测以找出符合要求的芯片 。   113MTBF(MEANTIMEBETWEENFAILURE)MTBF为设备可靠度的评估标准之一, 其意指设备前后发生故障的平均时间。MTBF时间愈短表示设备的可靠度愈佳,另外 MTTR为MeanTimetoRepair为评估设备修复的能力。   114N2,NITROGEN氮气定义:空气中约4/5是氮气。氮气势一安定之惰性气体, 由于取得不难且安定,故Fib内常用以当作Purge管路,除去脏污、保护气氛、传送气 体(CarrierGas)、及稀释(Dilute)用途。另外,氮气在零下196℃(77F)以下 即以液态存在,故常被用作真空冷却源。现在Fab内CleanHouse用之氮气为厂务提供 99.999﹪纯度者,生产线路所用之氮气为瓶装更高纯度者。因氮气之用量可局部反应 生产成本,故应节约使用以降低成本。   115N,PTYPESEMICONDUCTORN,P型半导体1.定义:一般金属由于阻值相当低 (10-2Ω-㎝以下),因此称之为良导体,而氧化物阻值高至105Ω-㎝以上,称之 非导体或绝缘体。若阻值在10-2~105Ω-㎝之间,则名为半导体。IC工业使用的硅 芯片,阻值就是在半导体的范围,但由于Si(硅)是四价键结(共价键)的结构,若 参杂有如砷(As)磷(P)等五价元素,且占据硅原子的地位(Substitutional  Sites),则多出一个电子,可用来导电,使导电性增加,称之为N型半导体。若参杂 硼(B)等三价元素,且仍占据硅原子的地位,则键结少了一个电子,因此其它键结 电子在足够的热激发下,可以过来填补,如此连续的电子填补,称之为电洞传导,亦 使硅之导电性增加,称之为P型半导体。因此N型半导体中,其主要带电粒子为带负电 的电子,而在P型半导体中,则为带正电的电洞。在平衡状况下(室温)不管N型或P 型半导体,其电子均与电洞浓度的乘积值不变。故一方浓度增加,另一方即相对减少 。   116NSG(NONDOPEDSILICATEGLASS)无参入杂质硅酸盐玻璃NSG为半导体集 成电路中之绝缘层材料,通常以化学气相沉积的方式声称,具有良好的均匀覆盖特性 以及良好的绝缘性质。主要应用于闸极与金属或金属与金属间高低不平的表面产生均 匀的覆盖及良好的绝缘,并且有助于后绩平坦化制程薄膜的生成。   117NUMERICALAPERTURE(N.A.)数值孔径1.定义:NA是投影式对准机,其 光学系统之解析力(Resolution)好坏的一项指针。NA值越大,则其解析力也越佳。 依照定义,数值孔径NA=n.sin?=n.D/2/f=n.D/2f换算成照相机光圈值f-number(f/# )可得f/#=f/d=1/2NA(D:镜面直径。f:镜头焦距。n:镜头折射率。f/#即我们在 照相机镜头之光圈值上常见的f/16,8,5.6,4,5.3,2.8等即是)亦即,镜片越大,焦距 越短者,解析力就越佳,但镜片的制作也就越困难,因为易产生色差(Chromatic Aberration)及像畸变(Distorsion),以CANONStepper为例,其NA=0.42,换算成 照相机光圈,Stepper镜片之昂贵也就不足为奇了。   118OEB(OXIDEETCHBACK)氧化层平坦化蚀刻将Poly-1上之多余氧化层( FillingOX)除去,以达到平坦化之目的。   119OHMICCONTACT欧姆接触1.定义:欧姆接触试纸金属与半导体之接触,而 其接触面之电阻值远小于半导体本身之电阻,使得组件操作时,大部分的电压降在于 活动区(Activeregion)而不在接触面。欲形成好的欧姆接触,有两个先决条件: A.金属与半导体间有低的接口能障(BarrierHeight)B.半导体有高浓度的杂质渗入 (ND>=1018㎝-3)前者可使接口电流中热激发部分(ThermionicEmission)增加; 后者则使接口空乏区变窄,电子有更多的机会直接穿透(Tunneling),而同时Rc阻 值降低。若半导体不是硅晶,而是其它能量间隙(EnergyGap)较大的半导体(如 GaAs),则较难形成欧姆接触(无适当的金属可用),必须于半导体表面参杂高浓度 杂质,形成Metal-n+-norMetal-P+-P等结构。   120ONO(OXIDENITRIDEOXIDE)氧化层-氮化层-氧化层半导体组件,常以 ONO三层结构做为介电质(类似电容器),以储存电荷,使得资料得以在此存取。在 此氧化层-氮化层-氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而 氮化层居中,则可阻挡缺陷(如pinhole)的延展,故此三层结构可互补所缺。   121OPL(OPLIFE)(OPERATIONLIFETEST)使用期限(寿命)任何对象从 开始使用到失效所花时间为失败时间(TimeofFailure:TF),对产品而言,针对 其工作使用环境(Operation),所找出的TF,即为其使用期限(OperationLife Time)。其方法为:AF=exp[?(Estress-Eop)]*exp[Ea/k(1/Top-/ Tstress)]..(1)K=8.63*10-5FailureRateλ(t)=No.ofFailure*109/ TatalTestTime*AF*Device,inFITTotalTestTime*AF=Operation Hours   122OXYGEN氧气OXYGEN氧气无色,无气味,无味道双原子气体。在-183℃液化 成浅蓝色的液体,在218℃固化。在海平面上,空气中约占20﹪体积的氧,溶于水和 乙醚,不可燃,可以助燃。在电浆光阻去除中,氧气主要用来去除光阻用。在电浆干  蚀刻中,氧混入CF4气体中,可增加CF4气体的蚀刻速度。目前氧气主要用途在于电浆 光阻去除;利用氧气在电浆中产生氧的自由基(RADICAL)与光阻中的有机物反应, 产生二氧化碳和水气体蒸发,达到去除光阻的效果。   123P31磷•自然界元素之一。由15个质子及16个中子所组成。•离子植 入的 磷离子,是由气体PH3经灯丝加热分解得到的3LP+离子,借着Extraction抽出气源 室经加速管加速后,布植在芯片上。•是一种N-type离子,用做磷植入,S/D植入等  。   124PARTICLECONTAMINATION尘粒污染尘粒污染:由于芯片制造过程甚为漫长 ,经过的机器、人为处理操作过程甚为繁杂,但因机器、人为均获多或少会产生一些 尘粒,这些尘粒一但沾附到芯片上,集会造成污染影响,而伤害到产品品质与良率, 此即『尘粒污染』,我们在操作过程中应时时防着各项尘粒污染来源。   125PARTICLECOUNTER尘粒计数器1.定义:快捷方式市之等即是以每立方呎内 之为例数为分类标准,而计算微粒数的仪器即称尘粒计数器。 126PASSIVATIONOXIDE(P/O)护层1.定义:为IC最后的制程,用以隔绝Device 和大气2.目的:因与大气接触,故着重在Corrosion(铝腐蚀)、Crack(龟裂)、 PinHole(针孔)之防治。除了防止组件为大气中污染之隔绝外,护层也可当作 Metal层之保护,避免Metal被刮伤。3.方法:护层可分两种材料:A.大部分产品以 PSG当护层(PContent2-4﹪)。B.少部份以PECVD沉积之氮化硅为之。   127P/D(PARTICLEDEFECT)尘粒缺陷ParticleDefect颗粒缺陷为当今影响 4MDRAM制程良率的最大主因,一般而言,particlesize如大于designrule的二分 之一,足以造成组件的损坏。故在cleanroom的洁净度要求,操作人员的洁净纪律、 设备本身的结构以及制程的条件和设备维修的能力,无一不为了降低particle和提升 良率而做最大的努力。   128PECVD电浆CVD1.定义:CVD化学反应所须知能量可以是热能、光能或电浆 。以电浆催化之CVD称作PECVD。PECVD的好处是反应速度快、较低的基版温度及Step Coverage;缺点是产生较大的应力,现Fib内仅利用PECVD做氮化硅护层。PECVD英文 全名为PlasmaEnhancementCVD。   129PELLICLE光罩护膜一般在光罩过程中,易有微尘掉落光罩上,而使chip有 重复性缺陷,故在光罩上下面包围一层膜,称之为Pellicle。好处如下:1.微层仅 只掉落在膜上,光绕射结果对于此微尘影响图按程度将降至最低。2.无须经清洗过 程而只须用空气枪吹去膜上异物即可将异物(微层)去除。   130PELLICLE光罩保护膜顾名思义,光罩保护膜之最大功能,即在保护光罩, 使之不受外来赃污物之污染,而保持光罩之洁净;一般使用之材料为硝化织微素,而 厚度较常用的有0.28U,0.86U两种。一般而言,可将PELLICLE分为两部分:(I) FRAME:骨架部分,支持其薄膜之支架,其高度称为STAND-OFF,一般而言,愈高其能 忍受PARTICLE之能力愈高,但须配合机台之设计使用,(II)FILM:透明之薄膜,其 厚度之均匀度,透光率是使用时重要之参数。PELLICLE之寿命,除了人为损伤外,一 般均可曝光数十万次,透光率衰减后才停用并更换。光罩PELLICLE膜PARTICLELENS SYSTEMWAFERPELLICLE面之成像   131PH3氢化磷1.定义:一种半导体工业之气体,经灯丝加热供给能量后,可 分解成P4,PH4、PH2(及H4)。通常31P4最大。可由质谱谱场分析出来,做N-type离 子布植用    132PHOTORESIST光阻光阻为有机材料,系利用光线照射始有机物质进行光化 学反应而产生分子结构变化,在使用溶剂使之显像。目前一般商用光阻主要含有二部 分(1)高分子树酯(2)光活性物质,一工作原理不同可分为正,负两类:(1)正 型:光活性物质为DIAZOQUINOUE类,照光前难溶于碱液中,有抑制溶解树酯功能, 照光后产生羧酸,反有利于碱液溶解,因此可区分曝光区与非曝光区。(2)负型: 光活性物质为DIAZIDE类,照后生成及不安定之双电子自由基,能与高分子树酯键 结,而增加分子量,选择适当溶剂便可区分曝光区与非曝光区。目前SMIC使用之正 、负光阻,皆为适用于G-LINE(436NM)制程之光阻。   133PILOTWAFER试作芯片PilotWafer为试作芯片,并非生产芯片(Prime Wafer)。在操作机器前,为了确定机器是否正常所作的试片,或机器作完维修、保养 后所作的测试用芯片均称为PilotWafer。由于PilotWafer所做出来的结果将决定该 批的制程条件。故处理PilotWafer时,所抱持的态度必须和处理PrimeWafere一样 慎重。   134PINHOLE针孔在光阻制程所谓的针孔,就是在光阻覆盖时,光阻薄膜无法 完全盖住芯片表面,而刘有细小如针孔般的缺陷,再蚀刻制程时,很可能就被蚀刻制 程穿透而致芯片的报废。在以往使用负光阻制程时,由于负光阻粘稠性较大,覆盖较 薄,因此容易出现针孔,固有些层次(如CONTACT)必须覆盖两次,才能避免针孔的 发生。目前制程大多使用正光阻,覆盖较厚,已无针孔的问题存在,QC亦不作针孔测 试。   135PIRANHACLEAN过氧硫酸清洗过氧硫酸(peroxymonosulfuricacid)又称 为CARO"sacid,主要由硫酸加双氧水反应声称,反应式如下:H2SO4+H2O2﹤= ﹥H2SO5+H2OH2SO5为一强氧化剂,可将有机物氧化分解为CO2+H2O,因此在IC制 程中常用来去除残留之光阻,另外对金属污染及微尘污染也有相当好的清洗效果。 Piranha原意为食人鱼,在这里则是用来形容过氧硫酸与光阻之间的剧烈反应。   136PIX聚醯胺膜PIX作用为缓冲护层,可保护CELL于封装时缓冲封装所造成之 应力,且可隔绝α-Particle,PIX本身为一负光阻。   137PLASMAETCHING电将蚀刻1.定义:在干蚀刻技术中,一班多采用电浆蚀刻 与活性离子蚀刻,通常电浆蚀刻使用较高之压力(大于200mT)及较小之RF功率,当 芯片浸在电浆之中,暴露在电将之表面层原子或分子与电浆中之活性原子接触并发生 反应形成气态生成物而离开晶面造成蚀刻,此类蚀刻即称之为电浆蚀刻。所谓电浆极 为气体分子在一电场中被游离成离子(正、负电荷)、电子及中性基(Radical)等 ,在纯化学反应中,吾人取中性基为蚀刻因子,在R.I.E时,取活性离子作为中性因 子。   138PM(PREVENTIVEMAINTENANCE)定期保养设备正常运转期间停机,实施定 期(每天、每周、每月或每季等)的设备保养。例如:检修,上油,润滑,更换消耗 材等。有良好的PM才能发挥高的设备运转效率,发挥设备最高的使用率。   139POCL3三氯氧化磷1.定义:一种用作N4扩散之化合物。通常以N2为"载气 "(CarrierGas),带着POCl3和O2(氧气)一起进入高温炉管,然后产生下列反应 :4POCl3+3O22P2O5+6Cl25P2O5+5Si4P+5SiO2在反应过程中,磷沉淀于硅表面, 同时硅表面亦行成一氧化层。   140POLYSILICON复晶硅SILICON是IC制造的主要原料之一。通常其结构都是 单晶(单一方向的晶体)。而本名词也是SILICON,只是其结构是复晶结构。及其结 晶的结构是多方向的,而非单一方向。POLYSILICON通常用低压化学气相沉积的方法 沉积而得。其主要用途在作MOS的闸极极单元的接连。    141POX聚醯胺膜含光罩功能POX为PIX/POReticleCombine之略写,即PIX 除具缓冲护层之作用外,同时可做POPattern用之光阻。PIX本身为一负光阻。   142PREHEAT预热1.定义:在3190作金属溅镀时,第一个Station适用来预热芯 片。2.目的:2-1使芯片在大气中吸附的气体,藉加热加速其在真空中之排除,溅镀 时可以有较干净之接口。2-2芯片温度高,溅镀之金属原子可以有较高之移动率,而 使表面扩散较完全,有较好的表面覆盖性。※但预热的温度有其限制,高的建度温度 使得金属与硅之接触电阻升高,也使得金属突起(Hillock)变的严重,而让表面反 射率变差,在金属闸产品,也发现温度不同会造成其临界电压的改变。   143PRESSURE压力1..定义:气体分子撞击反应室之器璧所产生之力量。气体 分子越少、压力越低。反之气体分子越多、压力越高。•如压力<大气压力时,表示  真空,其压力单位即为真空度。1大气压=1atm=760mmHg水银柱压力1Torr(扥) =1/760atm=1mmHg•如压力>大气压力时,即用单位面积所受的重量表示,如㎏/㎝2  或psi(1b(磅)/in2(吋))。一般电浆蚀刻机之压力为50millitorr~0.5Torr。 一般使用之气瓶之压力约为500psi~2000PSI。   144REACTIVEIONETCHING(R.I.E.)活性离子蚀刻1.定义:在电浆蚀刻时 ,电浆里包含了活性原子、活性离子(正离子)及电子,当压力较低(小于100mT) 且气体两端所加之电压购高时,活性离子即被迅速加速冲向电极上之芯片,而撞击晶 面上暴露在电浆中的表层,将表层之原子击出,再与活性原子反应因而造成蚀刻,此 类之蚀刻即称之为活性离子蚀刻。目前我们已有的R.I.E蚀刻机台为8110、8130、 8330等。   145RECIPE程序PECIPE在字典的解释是医生的处方、厨师的食谱。在IC制程中 则意指制程的程序。IC制造中各个步骤都有不同的要求:如温度要多少?某气体流量 多少?反应室的压力多少?等等甚多的参数都是PECIPE内容的一部份。   146REFLOW回流回流是IC制造中医种特殊技术。做法是将磷或硼或两者合一, 参入二氧化硅中(常用CVD方式)。之后将芯片推入高温炉管一段时间,该二氧化硅 层(PSGBPSG或BSG)即会『流动』,使芯片表面变得较平坦。此即回流平坦化技术 。回流取该氧化层『重新流动』之意。   147REGISTRATIONERROR注记差1.定义:IC芯片的两个层次之间,必须要正 确地叠在一起,此二层次图案完全正确对准之差距,即称为RegistrationError。   148RELIABILITY可靠性可靠性实在有很多方法来描述,但我们指针对两个观 点来讨论。一般来说,可靠性就是客户对我们SMIC的产品,再他们使用一段很长的时 间之后,仍能符合他们的信赖与期待。更精确的描述就是我们SMIC的产品在我们所要 求的特殊环境的测试,经过一段很长的时间之后,仍能确保IC功能、函数的正常操作 及称为可靠性合格产品。测试的项目很多,半总离不开电压、温度、湿度、机械应力 及压力等。   149REPEATDEFECT重复性缺点1.定义:重复性缺点系指同一芯片内每一个曝 光区的相同位置均出现相同之缺点。重复性缺点仅发生于Stepper曝光之产品。重复 性缺点所产生的现象可分为两种:A.光罩图案缺失:造成芯片图案缺失。B.光罩表面 或Pellicle表面污染:造成重复性显影不良。重复性缺点对产品良率有很大的杀伤力 ,例如一个曝光区内有八个晶方,若有一个晶方图案有缺失,就会造成产品良率1/8 之损失。因此重复性缺点是VLSI的头号杀手   150RESISTIVITY阻值1.定义:物理学上定义阻值(Ω,即欧姆)为R=△V/I  在物体两截面上通以定电流V,量得电压降△V,则△V/I即为这物体的阻值。但在半 导体工业上,这样地易阻值并无太大实用价值。我们只关心芯片表面薄薄一层"动作 区"的阻值。于是另外定义一"薄层阻值",以四点针测的方法量取△V及I。Rs=△ V/I(Ω/□)定义为芯片的阻值。   151RESOLUTION解析力1.定义:解析力在IC制程的对准及印刷(Align& Print)过程中站着相当重要的地位,尤其演进到VLSI后,解析力的要求就更高了。 它是对光学系统(如对准机、显微镜、望远镜等)好坏的评估标准之一,现今多以法 国人雷莱(Rayleigh)所制定的标准遵循之。物面上两光点经光学系统头于成像面上 不会模糊到只被看成一点时,物面上两点间之最短距离。若此距离越小,则解析力越 大。(通常镜面大者,即NA大者,其解析力也越大)解析力不佳时,例如对准机对焦 不清时,就会造成CD控制不良,Metal桥接,Contact瞎窗或开窗过大等。   152RETICLE光罩为使IC各个线路在芯片上成形(PATTERN),则必须有规范露 光及遮光区域(规范曝光成形)的赵子,此称为光罩。   153REWORK/SCRAP/WAIVE修改/报废/签过修改:分ADI修改,AEI修改ADI修改 :将光阻去除,重新上新光阻,已定义新的或精确的图形。AEI修改:将已沉积或氧 化的厚厚或薄层去除,重新沉积或氧化。报废:芯片受污染或流程不合规范上之规定 ,造成芯片有无良率之可能,则停止流程不继续生产谓之。签过:当芯片流程至某步 骤时,发现图形或规格不合于规范内之规定,但其影响不致使芯片达报废之程度,可 由工程师签署,继续流程。   154RUNIN/OUT挤进/挤出1.定义:对准不良的一种;挤进(Runin):不管 是在水平或垂直方向,芯片中央附近对准良好,而两边图案向中央挤进。挤出(Run out):不管是在水平或垂直方向,芯片中央附近对准良好,而两边图案向中央挤出 。   155SCRUBBER刷洗机1.在沉积或蚀刻制程之后常会有些微尘落在芯片表面, 此种P/D可刷洗去除,避免对良率的伤害。2.依照膜的性质,及机台的特性不同,通 常我们有下列5种不同刷洗方式:-去离子水冲洗-毛刷刷洗-高压水刷洗-毛刷加 高压水刷洗-芯片双面刷洗   156SAD(SOFTWAREDEFECTANALYSIS)缺陷分析软件将每片晶圆及芯片上的 缺陷送入计算机中,利用缺陷分析软件,将缺陷分类,一便利统计及分析的工作。目 前89%微缩型产品分类如下:SBITPSGPBTLCLTTOTHTPROWHROWSROWFROW 2ROWNROWOCL1OCL2QCL1QCL2HCL1HCL2OTCOWCL1WCL2YSELNCOLLCIOBLK1 BLK2BLK3OTHRAPEORWCL目前HYDRA产品分类如下:SBITPBCTPBTLCLTTOTHTPRW1 PRW2PRW3FROW2RW12RW2NRW1NRW2OCL1OCL2QCL1QCL2HCL1HCL2WCL1WCL2 YSELNCOLAPEDRWCLBLK1BLY2BLK3OTHR(以上均为分类时使用之表示名称)   157SEM(SCANNINGELECTRONMICROSCOPE)电子显微镜EM最常用之运作方式 为发射电子束方式(EMISSIVEMODE),电子油灯丝放出,而由5~30KV之电压加速, 再经过电磁透镜使电子束聚集照射至试片表面。一般使通过扫描线圈之电流同时通过 相对应之阴极射线管偏折电子束,而在萤光幕上产生相似而较大之扫描动作,达到放 大之作用。扫描式电子显微镜的解像能介于光学显微镜与穿透式电子显微镜之间,可 用于检验固体试片,由于视野纵深长,可显示清晰三度空间像。   158SELECTIVITY选择性1.定义:两种材料,分别以相同的酸液或电浆作蚀刻 ,其两种蚀刻率之比值谓之。例如复晶电浆蚀刻:对复晶之蚀刻率为2000?/min对氧 化层之蚀刻率为200?/min则复晶对氧化层之选择性:SS=2000?/min/200?/min=10选 择性越高表示蚀刻特性越好。一般干事实刻选择性较化学湿蚀刻为差,吾人取较高的  选择性之目的即在于电浆蚀刻专心蚀刻该蚀刻之氧化层,而不会商道上层光阻或下层 氧化层,以确保蚀刻之完整性。   159SILICIDE硅化物一般称为硅化物(Silicide),指耐火金属(Refratory Metal)之硅化物,如钛(Ti)、钨(W)、钼(Mo)等与元素硅(Si)结合而成之化 合物(TiSi2、Wsi2、MoSi2)。硅化物应用在组件之目的,主要为降低金属与硅接口 ]、闸极或晶体管串联之阻抗,以增加组件之性能。以钛之硅化物为例。   160SILICIDE金属硅化物1.定义:Silicide通常指金属硅化物,为金属与硅 之化合物。2.目的:在微电子工业硅晶集成电路中主要用为2-1导体接触(Ohmic Contact)2-2单向能阻接触(SchottkyBarrierContact)2-3低阻闸极(Gate Electrode)2-4组件间通路(Interconnect)在VLSI(超大规模集成电路)时代中, 接面深度及接口接触面积分别降至次微米及1~2平方毫米,以往广泛应用为金属接触 的Al,由于严重的川入半导体问题,在VLSI中不再适用。再加上其它技术及应用上的 需求,金属硅化物在集成电路工业上日亦受到重视。由于集成电路中之金属硅化物限 于近贵重(Pt,Pd、Co、Ni、…)及高温金属(Ti、W、Mo、Ta)硅化物。   161SILICON硅硅-SI(全文SILICON)为自然界元素之一种,意即我们所使用 的硅芯片组成元素,再元素周期表中排行14,原子量28.09,以结晶状态存在(重复 性单位细胞组成),每一单位细胞为由一个硅原子在中心与其它4个等为硅原子所组 成之四面体(称为钻石结构)如图标中心原子以其4个外围共价电子与邻近之原子其 原型或其价件之结合。硅元素之电子传导特性介于金属导体与绝缘体材料之间(故称 为半导体材料),人类可经由温度之变化、能量之激发及杂质参入后改变其传导特性 ,再配合了适当的制程步骤,便产生许多重要的电子组件,运用在人类的日常生活中 。   162SILICONNITRIDE氯化硅氮化硅是SixNY的学名。这种材料跟二氧化硅有甚 多相似处。氮化硅通常用低压化学气相沉积法或电浆化学气相沉积法所生成。前者所 得之薄膜品质较佳,通常作IC隔离氧化技术中的阻隔层,而后者品质较差,但因其沉 积时温度甚低可以作IC完成主结构后的保护层。   163SMS(SEMICODUCTORMANUFACTURINGSYSTEMS)半导体制造系统此SMS- 半导体制造系统为德州仪器公司(TI)为辅助半导体的生产制造而发展出的--计算 机软件系统,其主要功能包含有:1)制程变更控制2)制程数据搜集与统计图表3 )制程与操作规格制定4)机台维护追踪5)生产计划制定6)线上统计报表7) 在制品操作与追踪8)自动化系统接口   164SOFTWARE,HARDWARE软件,硬件1.定义:大略而言,所谓硬件可泛指 像PC-BOARD,机台外壳等一些零组件;而软件一般指运用程序,指令一套完整之控制 系统,可经由程序、指令之修改而修改,以人为例子,软件就好比脑中之记忆、思想 ,可控制整个身体各部分之动作,而硬件就好比人的手、足、眼、耳等器官;由以上 之比喻,可知道软件、硬件是相辅相成,缺一不可。近来尚有一种介于Software、 Hardware之间,称为Firm-Ware,他的功用,,就相当于把软件写入硬件(比如PROM) ,以加快速度,因此软、硬件间的区分也变得较不明显了。   165S.O.G.(SPINONGLASS)旋制氧化硅旋制氧化硅(SpinonGlass)是利 用旋制芯片,将含有硅化物之溶液均匀地平涂与芯片上,在利用加热方式与溶剂驱离 ,并将固体硅化物硬化程稳定之非晶相氧化硅。其简单流程如下:旋转平涂→加热烧 烤→高温硬化(~450℃)旋制氧化硅是应用在组件制造中,金属层间之平坦化( Planization)。以增加层与层之间的结合特性,避免空洞之形成及膜之剥裂。   166S.O.J.(SMALLOUTLINEJ-LEADPACKAGE)缩小型J形脚包装IC因外脚弯成  "J"字形,且外伸长度较一般I.C.为小儿得名。是记忆I.C.的普遍化包装形态,为 配合表面粘着技术的高集积度要求而诞生。   167SOLVENT溶剂1.两种物质相互溶解成一种均匀的物质时,较少的物质被称 为溶质,较多的物质被称为溶剂。例如:堂溶解于水中,变成糖水,则糖为溶质,水 为溶剂,缓和的结果称为溶液。2.溶剂分有机溶剂与无机溶剂两种:2-1有机溶剂 :分子内含有碳原子的称为有机溶剂,例如丙酮(CH3COCH3)、IPA(CH3CHOHCH3) 。2-2无机溶剂:分子内不含有碳原子的称为无机溶剂,例如硫酸(H2SO4),氢氟酸 (HF)3.在FIB内所通称的溶剂,一般是只有机溶液而言。   168SPECIFICATION(SPEC)规范规范是公司标准化最重要的项目之一,它规 定了与生产有关事项的一切细节,包括机台操作、洁净室、设备、保养、材料、工具 及配件、品管、可靠性、测试…等等。IC制造流程复杂。唯有把所有事项钜细靡遗的 规范清楚并确实遵照规范执行,检讨规范是否合理可行,相关规范是否有冲突,已达 自主管理及全员参与标准化之目的。   169SPICEPARAMETERSPIC参数1.定义:SPICE是一个分析非线性DC、非线性 瞬间AC和线性AC行为的电路仿真程序。其由各种不同的半导体组件模式计算之,有 DIODES、BJT"S、JFET"S、MOSFET"S等,利用此种模式计算仿真实际半导体电路的 工作情形。而使用于这些模型上的计算参数统称「SPICE参数」。目前由于公司使用 之模式为HSPICELevel2,故一般常说之SPICE参数,即指DesignRules所提供之 HSPICELevel2中MOSFET所用到的参数。   170S.R.A(SPREADINGRESISTENCEANALYSIS)展布电阻分析在下列一些情况 ,可利用S.R.A.方法来得到其Resisitivity:(1)nonn+layer,ponp+ layer(2)nonplayer,ponnlayer(3)depthprofiling(4)lateral profiling(5)verysmallareas在测量Resistivity的方式有很多,但若要降低校正 ,则一定要使用到Point-ContactProbe的展布电阻。   171SPUTTERING溅镀溅镀乃是带能量的离子撞击物体,致使表面的原子飞散出 来,附着于基板上形成薄膜之现象。当所加电流为直流时,称为直流溅镀(D.C SPUTTERING):所加电流为射频时,称为射频贱镀(RADIOFREQUENCYSPUTTERING) 。基于经济及效率观点,氩气为最常使用之气体。当氩气被快速电子碰撞时产生氩离 子,此时电子数目增加并且同时受电场再加速,以便再次进行游离反应,如此不去如 同雪崩(AVALANCHE)一样产生辉光放电(GLOWDISCHARGE),氩气离子受阴极(靶 材)吸引,加速碰撞靶材,将表面原子打出而吸附在基本上。由于溅镀有薄膜厚度容 易控制、组织均匀、表面相当平滑等优点,因此被电子工业广泛地使用。   172SSER(SYSTEMSOFTERRORRATETEST)系统暂时性失效比率测试Soft Error为所有发挥性组件之共有特性。对DRAM而言,每记忆细胞(MemoryCell)所存 电荷(charge-to-sense)存在一刻开关的接面(junction),以空乏(depleted) 的状态存在。当该细胞有高能粒子源(e.g.α-particleFrommoldingcompound) ,使所存电荷消失或减少到无法侦测时,该细胞便暂时消失。   173STEPCOVERAGE阶梯覆盖STEPCOVERAGE』系冷指芯片上各层次间各项薄膜 、沉积材料等,当覆盖、跨越过底下层次时,由于底下层次高低起伏不一及有线条粗 细变化,会造成此薄膜、沉积材料在产品部分区域(如高低起伏交界处)覆盖度会变 差,此变差的程度,即为『STEPCOVERAGE』一般系以厚度变化比表示:STEP COVERAGE=厚度最薄处/厚度最厚处此比例越接近1越佳,反之越差,正常言均应达 50﹪以上。   174STEPPER步进式对准机1.定义:Stepper(步进式对准机)系  Stepprojectionaligner之简称。Stepper与Projectaligner原理类似,只是将每 片芯片分为20~60次曝光完成。Stepper使用自动对准,不但迅速、精确,且可使用计 算机计算、补偿。对准方式可分为Global、DiebyDie、AdvancedGlobal Alignment,此三种方式均可补偿因芯片形变造成之对准不良(如Runin/Runout) 。Stepper亦可按缩影比例,分为1X、5X、10X三种。以最常见之5X为例,光罩上一条 5u之直线,曝在芯片上,仅1μ而已。   175SURFACESTATES表面状态1.定义:表面状态是介在Si-SiO2接口的政电荷 ,也叫做InterfaceStates。形成表面状态的原因,是作氧化步骤时Si会从表面移去 而与O2反应。当氧化停止时,有些离子Si会留在靠近接口处。这些为完全键结的Si离 子会沿着表面形成一条正电荷QSS。电荷大小决定于下列因素:氧化速度、后续热处 理步骤及CrystalOrientation。在{111}表面,良好的氧化步骤下,其表面状态密 度约为5×1010charges/㎝2(i.e.Qss=5×1010q)。而对于{100}的表面状态 密度约为{111}表面的1/3。   176SWR(SPECIALWORKREQUEST)SWR为特殊工作要求单。生产线为了区划正 常流程芯片和工程实验芯片,将工程师依规定申请实验的芯片批称为SWRLot,通常 SWRLot是用来解决制程问题,或评估新机器、制程而试作的芯片。   177TARGET靶一般用在金属溅镀(SPUTTERING)也就是以某种材料致造成各种 形状,因此『靶』当作金属薄膜溅镀之来源。   178TDDB(TIMEDEPENDENTDIELECTRICBREAKDOWN)介电质层崩贵的时间依存 性利用介电质崩溃时间(TimetoBreakdown)TBD与外加电场(电压)的线性模型 ,作加速测试(AcceleratedTest),对产品(介电质)寿命(LifeTime)作一估 算。TBDαe-βEox……….(1)AF=e-β(Eext-Eop)……(2)Life Time=T-50*AF…(3)   179TECN(TEMPORARYENGINEERINGCHANGENOTICE)临时性制程变更通知随时 工程变更通知(ECN)为工程师为了广泛收集资料,或暂时解决制程问题,而做的制 程变更,此一临时性的变更将注明有效期限,以利生产作业。   180TEOS(TETRAETHYLORTHOSILICATE)四乙基氧化硅1.化学式:Si(OC2 H5)4,与常温下伟业体态。2.用途:与经化学反应后,可生成一层二氧化硅,在IC 里通常被当作绝缘层使用。3.反应方式:-高温低压分解反应-高温加入触某媒分 解反应-电浆促进分解反应   181THRESHOLDVILTAGE临界电压定义:当我们在MOS晶体管之源极(Source) 和汲极(Drain)加一个固定偏压后,再开始调整闸极(Gate)对基质(Substrate) 的电压,当闸极电压超过某一个值之后,源极和汲极就会产生电流而导通,则我们就 称此时的闸极电压称为临界电压(ThresholdVoltage)。NMOS晶体管的临界电压相 对于基质为正。PMOS晶体管的临界电压相对于基质为负。一般在制程上我们会影响临 界电压的因素主要有二:A闸极氧化层厚度:GateOxide越厚,则VT(绝对值)越高 。B基质渗杂的浓度:VT值入Dose越高,则VT越高。   182THROUGHPUT产量1.定义:ThroughPut为单位工时之产出量,例如某机 器每小时生产100片,则称其ThroughPut为100片/每小时。如果每天运作21小时,则 每天的ThroughPut为2100片/天。IC工业系许多昂贵且精密的设备投资,故必须充分 利用,维持生产的顺畅,发挥其最大的效能。故高的ThroughPut为我们评估机器设 备的一项很重要的因素之一。除了设备上发挥其最大产能外,必须要配合人为的力量 :如流程安排、故障排除、…等,亦即必须"人机一体"才能发挥生产的整体效益, 达到最高的生产力。    183TMP(TIMEMORYPROTOTYPE,TMS-XTIMEMORYSTANDARDPRODUCT)TI记 忆产品样品(原型),TI内存标准产品在TI的产品出货控制(ProductorOutgoing Control)中,以Qualification(资格审定)为期里程碑:(1)Qual以前:均为TMP 产品。(2)Qual以后:分为TMS-A,TMS-B,TMS-C及Special,其可靠度保证。   184TOX氧化层厚度TOX系THICKNESSOFOXIDE之缩写,即一般所谓氧化层厚度 。通常于氮化硅蚀刻、复晶及接触窗蚀刻完,均需作TOX之测量。藉以确认该层次蚀 刻完是否有过蚀刻或蚀刻不足之现象。   185TROUBLESHOOTING故障排除1.定义:在生产过程,因为4M,即设备、材 料、人为、方法等,造成之一切问题而阻碍生产,例如:机器当机、制程异常…等。 工程人员解决以上发生的问题,使这些"障碍"消弭于无形谓之TroubleShooting, 故障排除。   186UNDERCUT底切度1.定义:所谓"底切度"(Undercut),乃是蚀刻时的 专用术语,简单的说,Undercut便是原来所定义出来的图形间偏离度的大小。对于等 向性蚀刻(IsotropicEtching)Undercut较大,而对于完全非等向性蚀刻(Full AnisotropicEtching),其Undercut等于零,亦即能忠实地将原图形复制出来。   187UNIFORMITY均匀度1.定义:均匀度Uniformity是一种测量值的平均分布 。藉以表示芯片内各测量点的数值或是芯片与芯片间其测量值的变化。在IC制程中, 常用以表示薄膜厚度,线宽(C.D)在整片芯片内或芯片间的分布。其表示方法如下 :如测量芯片内上中下左右与5点数据,5点平均值。X=X1+X2+X3+X4+X5/5均匀度 Uniformity=Xmax-Xm1m/2X×100﹪例如测量T0x厚度共五点分布如下:510、 525、540、515、520?则均匀度=540-510/2×522(平均值)×100﹪=2.8﹪均匀度 越小,表示各点变化越小。亦即表示芯片制程品质较佳,也是制程能力越好的表现   188VACUUM真空1.定义:真空系针对大气而言一特定空间内的部分气体被排 出,其大气小于一大气压。表示真空的单位相当多,在大气的情况下,通称为一大气 压,也可表示为760torr或760mmHg或14.7psi。真空技术中将真空一压力大小分为四 个区域:A粗略真空(RoughVacuum)B中度真空(MediumVacuum)C高真空(High Vacuum)D超高真空(Ultra-HighVacuum)2.方法:在不同真空,气体流动的形式 与传导性等均有所差异,,简略而言:在粗略真空气体的流动称之为黏滞流( ViscousFlow)。其气体分子间碰撞频繁,且运动具有方向性;在高真空或超高真空 范围,气体流动称为分子流(MolecularFlow),其气体分子间碰撞较少,且少于气 体与管壁碰撞的次数,气体分子运动为随意方向,不受抽气方向影响。在热导性方面 :中度真空之压力范围其与压力成正比关系,粗略真空与高真空区域则无此关系。   189VACUUMPUMP真空帮浦凡能将特定空间内的气体去除以减低气体分子数目 ,造成某种程度只真空状态的机件,通称为真空帮浦。目前生产机台所使用的真空帮 浦可分为抽吸式:旋片帮浦(ROTARYPUMP)、鲁是帮浦(ROOTSPUMP),活塞帮浦 (PISTONPUMP)、扩散帮浦(DIFFUSIONPUMP)。储气式:冷冻帮浦(CRYOPUMP) 、离子帮浦(IONPUMP)。   190VERNIER游标尺1.定义:用来读取曝光制程中,本层次与前面层次之对准 情形是否良好。目前公司所用之游标尺,在读取之分辨率上可分为每格0.2μ及每格 0.1μ者。目前只用在步进式对准机中以得到更佳之分辨率。游标尺之设计因人而异 ,因此在读取时是否方便、容易,端赖设计上之是否周详。   191VIACONTACT连接窗『VIACONTACT』连接窗,系指相同两层材质之间,如 POLY(一)与POLY(二)之间,METAL(一)与METAL(二)之间欲直接相联系时,必 须在制程上挖出下层(如POLY(一),METAL(一)),窗来,让上层(如POLY(二  ),METAL(二)能与下层相通)此窗即为连接窗,一般此做法系为节省晶方面积而 设计,但因多了一层的关系,制程上会较复杂,我们DOUBLEMETAL或DOUBLEPOLY制 程即为一例。   192VISCOSITY黏度『粘度』一词专用于液体,意指当液体接受切应力时(指 作用力方向与液体表面不垂直),液体就会产生变形,所以便定义『粘度』来表示液 体产生变形程度的大小。粘度是可以调整的,因为液体受切应力而变形是巨观行为的 表现,所以在液体完全兼容前提下,可以加入不同粘度的溶剂来调整粘度。   193VLF(VERTICALLAMINARFLOW)垂直流层在流体的流动状态中,可分为层 流(LaminarFlow)及齐流(TurbulentFlow)两种。一名叫OsborneReynold的人 利用一简易的实验将其界定,而雷诺数即为层流及齐流的界定值。一般流体流速较快 者其流线(streamiline)分子易受干扰,且雷诺数大易形成齐流,反之,则易形成 层流。(雷诺数=惯性力/粘滞力)在无尘室芯片制造场所内,其气流为稳定之层 流,如此可将人员、机台等所产生之微尘带离。若为齐流,则微尘将滞留不去。因此 在无尘室内机台的布置及人员的动作都以尽量不使空气流线产生齐流为原则。   194WELL/TANK井区WELL即井区。在IC中的组件MOSFET(即金氧半场效晶体管 ),常作两型(N及P)相接的方式,即CMOS技术。此时为区分这两种不同型的MOSFET ,就须先扩散两个不同型的区域于IC中。此种区域即称为WELL区。   195WLRC(WAFERLEVELRELIABILITYCONTROL)晶圆层次(厂内)可靠度控制 WLRC是取代"End-of-line-reliability"的一种全新的可靠度监控方式,主要分为 物性(In-lineScrap),如厚度、材料、应力、接触窗覆盖率;另有电性(成品 Scrap),如TDDB,CHCEMStress等。兹比较如下:Charactoristic1.回馈( Feedback)时间2.真正原因的回馈性3.WaferLevelQual与 Design-in-Reliability的应用4.产品报废5.加速系数及准确性WLRC1.快,使产品 损失减到最低2.良好,能马上找出问题所在3.卓越4.较多5.高,较差 End-OF-Line-Reliability1.慢,出问题时已大量产品被影响2.困难,因包装后产 品的DataAssociation(资料联结性)已破坏,不易找出真正原因。3.困难4.少5. 低,高   196WLQC(WAFERLEVELQUALITYCONTROL)晶圆层次(厂内)品质控制先定 义:客户眼中的品质:产品有问题,就是品质不良我们眼中的品质:出厂前看得到, 量得到的问题,才是品质(Quality)我们眼中的可靠度:出厂前看不到,又不能直 接量得到的问题,在客户手中欲发生问题,是可靠度(Reliability)所以,WLQC是 针对一切厂内可直接测之(time-zeromeasurement),对品质有所影响的参数进行 筛选及分类。对外,使出货品质分布集中、均匀(假设某可靠特性不变)。对内,回 馈厂内,增进制造品质。   197X-RAYLITHOGRAPHYX光微影技术1.定义:在次微米微影成像技术中,X- 射线微影技术备受瞩目。由于X-射线之波长甚短(约4~10?),故可得甚佳之解析力 ,同时亦无干涉及绕射现象,因此可制作次微米线宽之IC图案。这种以X-射线为曝光 光源之微影技术目前仍在开发中。由于X-射线穿透力甚强,,其光照图案不再是铬膜 ,而是一般大都为"金"。   198YELLOWROOM黄光室黄光室(YellowRoom)就是所有光源(照明用)均为 黄色光波波长者之区域。由于IC晶方内之图案均有赖光阻剂(Photoresist)覆盖在 芯片上,再经曝光,显影而定型;而此光阻剂遇光线照射,尤其是紫外线(UV)即有 曝光之效果,因此在显影完毕以前之生产,均宜远离此类光源。黄光之光波较长,使 光阻剂曝光之效果很低,因此乃作为显影前之照明光源。  基本Fab的机构是这样的:   厂长   1.Integration   -LPIE   -MPIE   -YE   -WAT   -BR   2.Module   -CVD   -PVD   -CMP   -PHOTO   -ETCH   -Diffusion   -WET   -IMP   3.MFG   -MPC   -TF   -DIFF   -PHOTO   -ETCH   此外相关的直接支持部门还有:   Facility   IE&PC   ===================================   基本上在Fab里干到Dept.就可以躺着数钱了。   一般的干到Dept.很难,除非机遇特别好,否则的话10年都不一定。 Fab中PIE要略微比PE和EE好一些,相对进fab的机会要少。   PIE主要的工作有很多,但总而言之是和产品密切相关的。SMIC上海厂有DRAM和 Logic两种截然不同的产品,相应的PIE职责也有区别。   MemoryPIE(基本都在一厂)通常是分段管理,一般是有人负责 Isolation(FOX/STI),有人负责Capacitance,有人负责Transistor,有人负责后段 Interconnect。总体分工比较明确,少数资深的工程师会负责全段的制程。Memory的 产品通常种类较少,总量较大,比较少有新的产品。SMIC的Memory有堆栈型和沟槽型 两大类,都在一厂有量产。   LogicPIE(两个厂都有)才是真正意义上的FabPIE,一般来讲Fab要赚钱, Logic的产品一定要起来。LogicPIE通常会分不同的Technology来管理产品,比如 0.35umLG/MM/HS;0.18umLG/MM/HS/SR;0.13umLG/SR等等。Logic的产品种类非常 多,但每颗的总量一般不会太大,如果能够有1000pcs/月的量,那已经是比较大的客 户了。--如果遇到这样的新客户,大家可以去买他的股票,一定可以赚钱。   LogicPIE的主要工作通常有Maintain和NTO两大类,前者针对量产的大量产品的 良率提高,缺陷分析等。后者主要是新产品的开发和量产。具体的工作么,拿NTO来  讲,有Setupprocessflow,pirun,faboutreport,defectreduction,yield analysis,customermeeting,......等等。   相比较而言,进fab倒不是最主要的,分析数据和写报告的工作为主。   偷个懒,把原来写的一部分搬过来。 Module的工程师主要分成两大类:制程(工艺)和设备。也就是所谓PE和EE。基 本上无论哪个Module都会有这样的两类工程师。   设备工程师主要负责的是机台的状况,他们要保持机台始终处于比较良好的 Status,从而提高机台的利用率。TSMC在最忙的时候曾经把机台的利用率提到到了 110%以上,这样就需要缩短机台设计的PM时间,缩短机台的Monitor时间,减小Down 机的几率。这样设备工程师的压力就很大。设备工程师的OnCall通常就是来自于此 。如果大家都是混得比较资深的EE,那由于晚上都有设备值班,小问题都能够被处理 掉,而大问题也没法处理,可以第二天白天来做。但如果是一群没有足够经验的EE, 那么每个人都只能专精几种机台,结果就是遇到不熟悉的机台出问题,就只好Call人 了。   EE在Fab中待的时间要比PE长,有很多routine的工作,比如PM。EE的问题相对简 单,妈的,机台出问题了我就修呗,修不好我就CallVendor呗。你制造部不爽那你 自己来修。   EE有很多机会接触有毒的气体、辐射和化学药品,也容易遭受侵害。Fab里很多 耸人听闻传说中的主人公都是EE。记住一条Fab的铁律,任何不明身份的液体都可以 默认为是HF溶液,千万不要去胡乱摸。此外特别的区域会有特别的注意事项,各自要 注意。   EE主要和PE以及厂务(FAC)的弟兄打交道。不太会直接面对PIE这种Module比较 讨厌的人物,也和TD的弟兄没有什么大的过节。由于是机台的使用者,Vendor会常常 来和EE搞好关系,如果公司许可,可以有很多的饭局。酒量要锻炼。   EE的工作很累,但并不很复杂,如果加入了一个不错的集体,也可以过的很快活 。   硕士以及以上学历的弟兄一般不会有机会加入EE的行列,工科的本科/大专毕业 生可以绰绰有余的胜任EE的工作。EE做久了如果没有什么兴趣可以想办法转去做PE, 如果想赚钱,做Vendor也不错。 制程工程师,也就是工艺工程师,也就是PE。他们主要负责Fab中各类工艺参数 和程式的设定。一个稳定的Fab必然需要大量资深的PE在。PE的工作状况和EE不同, 他们将面对多个部门的压力,MFG和PIE是"压迫"PE最多的两伙人。而Q的弟兄也会 让PE非常痛苦,时常窜出来搞乱的TD工程师常常会把PE搞得抓狂。然后在PE和EE之间存在大量的灰色地带,这个事情究竟谁做?双方吵架的机会也是大把大把。   PE和Vendor打交道的机会也比较多,无论是机台的Vendor还是Material的Vendor 。熟悉之后,跳槽出去做Vendor的PE也不少。通常而言,EE去做Vendor还是修机器, 而PE常常会摇身一变成了Sales。许多出去买Material的PE现在富的流油(因为有提 成),尤其以卖CMP研磨液的弟兄为最好,卖靶材和光阻的就差了不少。   PE也是需要在Fab里面常常待的,要tuning出好的程式也需要付出很大的代价。 以Diff为例子,每个run都要以小时计算,无论是uniformity、Defect、Quality都需 要被考量,而且最后还要得到PIE电性数据的Support。   Fab里面出什么问题,MFG无法界定的时候,第一个通知的就是值班PE。   每当一个新的制程在开发的时候,无论是PIE主导还是TD主导,PE都累得像条狗 一样,操劳过度,而且还要陪着笑脸向制造部的Leader借机台,一不小心就付出请客  喝水的代价。只有少数资深的PE敢于把PIE或者TD骂一顿然后罚他们自己去借机台的 。许多PRS数据都需要切片,PE就只好在FALab陪伴切片的小妹度过一个个不眠之夜 --尤其以ETCH的弟兄最为痛苦,当年的liaoduan他们就切片切的昏天黑地。最后怒 了,就拿了把西瓜刀去找PIE进行黑社会谈判,好不容易分了一部分活出去。   PE要值夜班,EE值班的时候,如果机台没问题就可以眯段时间,反正半夜也没有 老板在。但是机台没有问题不代表Wafer没有问题,实际上Fab中Wafer出的问题千奇 百怪,匪夷所思。所以PE的值班手机从来就不会闲下来,在Fab中最忙的值班电话通 常是CMP、YE和PHOTO的值班手机。   什么叫做痛苦,当你作为一个PE在Fab里接到YE的报警电话的时候就会有一种生 不如死的感觉。完了,今天的值班一定没好日子过了……   PE同样面对Fab中的不良环境,所以要注意身体,在有了小弟小妹之后就尽量少 进Fab。  回头再讲讲PIE。表面上看起来,PIE要比PE/EE都快活,他们在Fab里工作的绝对 时间要远少于PE和EE。对于PE来讲,PIE简直就是最可恶的人之一,成天忽发奇想, 给出奇奇怪怪的各项指令,然后还不停的来骚扰自己,要这样做,要那样做,简直像 一大堆苍蝇。而且自己还不能像对待TD一样直截了当的sayno。然后还要看我的SPC ,帮着Q这些人来Review自己,简直讨厌透了。所以,半夜货出了问题,不管大小,Call人!把PIE这群鸟人Call起来上个厕所。   Module的工程师只是负责一段的制程,而PIE需要对整个制程负责。很自然的, 对于一个具体的制程来讲,PIE不可能比PE更为专业。但是PIE的位置决定了他必须要 "以己之短,攻敌之长",和PHOTO讨论ShotDependance,和ETCH讨论Loading Effect,和CMP讨论DownForce,……结果导致所有的人都认为:妈的,PIE什么都不 懂。有一些聪明的PIE就和PHOTO工程师讲DIFF,和DIFF工程师讲ETCH,和ETCH的讲CMP,……结果就是所有的人都对他肃然起敬。   其实,PIE和PE有强烈的依存关系,PIE面对的人更加多,也更加杂,一个好的 PIE会保护和自己合作的PE,而一个差劲的PIE会在客户来发飚的时候把PE推出去当替 死鬼。PIE需要PE为自己的实验准备程式,调试机台,提供意见……没有PE的Support ,PIE什么也不是。当年SMIC一厂著名的Marvin、Jing和Cathy小姐开发0.15umUtrla LowPowerSRAM的时候,就是由于IMP的失误,导致近一年的开发时间被浪费了。 Marvin、Jing和Cathy每次提到这段血泪史无不扼腕叹息--当年付出的努力:无数 次的夜班,电性分析,切片FA,SplitRun,……通通付诸东流。   PIE唯一还算的上专业的,就是WAT电性,一个好的PIE需要对电性的结果非常敏 感。   各位所有想要做,或者正要做PIE的朋友,请记住一条PIE的铁律:"永远不要乱 改东西。"只要你记住了这一句话,你就没有白花时间看这段文字。   做LotOwner是件痛苦的事情,因为这一批货色的成败死活都会和你挂钩,如果 是很重要的货,那么晚上被Call几乎是一定的。有时候你还得半夜等货做实验。说起 做实验,就会涉及到RunCard,这是让制造部帮助你不按照正常流程来做实验的东东 。开的RunCard越多,制造部就会越恨你。当年的Jamin以2年半超过1000张RunCard 成为MFG第一"公敌"。其实像PIE每个人的RunCard数目都不少,数百张都是很正常 的。   PIE会直接面对客户。合理帮助你的客户,没准下一份轻松写意收入好的工作你 可以在他们那里找到,而且还可以回来ReviewFab。   做的无聊了,PIE可以转PDE/TD/CE等职位,也可以跳槽去做FoundryManager,  转行做Design德也有,去Vendor那里的机会比较少。    关于PDE   这是产品工程处的职位。主要的工作是帮助Fab找到YieldLoss的主要方面,帮 助Fab提高Yield。写Report是PDE最常做的事情。PDE需要有EFA和PFA的基本功底,要有对电性等各类数据高度的敏感。好的PDE需要在Integration先锻炼过一段时间,熟 悉Flow和Fab的环境。   Memory的PDE相对好做,利用电性的方法,可以比较容易的定位到FailPoint, 再做FA分析。难点在找到问题之后PIE的YieldImprove,但这个是以PIE为主去做的 。   而Logic的PDE比较困难,如果遇到不讲理的PIE,压力就很大。Logic产品Yield 上不去,原则上PIE只要一句:Product给点方向。就可以闪人了,痛苦的是PDE。好 在绝大多数PIE会负责到底,但这又带来一个问题。就是PDE会被"架空"或者干脆成为了PIE切片的小弟。   做PDE一定要积极,同时要和PIE保持良好的关系,PDE和PIE只有紧密合作,才能 把产品弄好。而且当PDE不得不面对Module工程师的时候,记得找个PIE帮你,在Fab 里,他说话比PDE管用。   PDE要面对客户,记住最重要的一点:在没有和PIE确认之前,不要对客户乱说话 。不然害惨PIE也害惨PDE自己。   如果将来不想做PDE了,可以转行做封装测试,转行做Design,或者Foundry manager,或者foundry内部的CE,PIE,TD等都可以。  一只秒表走天下的IE   工业企划处的IE可以算是Foundry中的一个异类,做好了可以直取管理的精髓,做不好,就被无数的PE/EE甚至MFG看不起。小时候一定都读过华罗庚老先生的《统筹管理》一文(初中课本有记载),IE做的工作就和这个有关系。   Fab是一个异常复杂的流水线,一片Wafer从下线到产出需要经过数百道流程和近百种机台。生产步骤之间的整合总体分成两大部分:Process方面和生产能力方面。前者由我们应明伟大的PIE负责,而后者就是IE的工作。   比若说,一个产品出来需要经过ABC三个过程,A过程中使用到的机台平均日生产能力为A1,以此类推。原则上讲A1=B1=C1才是最佳的组合。IE的工作之一就是要使Fab中各类机台的产能达到平衡,估算各类机台的需要程度,并提出组成方案。   这绝对不是一个简单的活。首先,Fab不会只跑几种产品,它的产品一直在改变;其次,机台标称的生产能力不见得和真正的生产能力Match;第三,各类机台的Down机几率不一样,复机所需时间也不一样;最后,出于Fab出货的需要,有些时候需要采用一种特别的跑货方法,比如说月底拉货出线,比如说应客户要求的SuperHotRun等等,这些都会大大的干扰正常的流程。为了获得具体的第一手资料,许多IE就跑到Fab里,看着Wafer的进出,用秒表来掐算时间。这就是所谓的"一只秒表走天下"。   类似的还有MC,他们控制的主要是Fab使用的Material,由于Fab厂跑的货一直在变,一旦MC估测不好--后果很严重,MFG很生气。   还有PC,他们的主要工作是按照Fab的产能状况来排货。   这些岗位都属于工程师编制,他们的主要目的就是让Fab能够合理的近乎满负荷的工作。  最近比较忙,就把一些技术资料先贴上来。   ===========================================================   CVD   晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室    答:由于微小的粒子就能引起电子组件与电路的缺陷   何谓半导体?   答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。   常用的半导体材料为何   答:硅(Si)、锗(Ge)和砷化家(AsGa)   何谓VLSI   答:VLSI(VeryLargeScaleIntegration)超大规模集成电路   在半导体工业中,作为绝缘层材料通常称什幺   答:介电质(Dielectric)   薄膜区机台主要的功能为何   答:沉积介电质层及金属层   何谓CVD(ChemicalVaporDep.)   答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程   CVD分那几种?   答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式)   为什幺要用铝铜(AlCu)合金作导线?   答:良好的导体仅次于铜   介电材料的作用为何?   答:做为金属层之间的隔离   何谓PMD(Pre-MetalDielectric)   答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质   何谓IMD(Inter-MetalDielectric)   答:金属层间介电质层。   何谓USG?   答:未掺杂的硅玻璃(UndopedSilicateGlass)   何谓FSG?   答:掺杂氟的硅玻璃(FluorinatedSilicateGlass)   何谓BPSG?   答:掺杂硼磷的硅玻璃(Borophosphosilicateglass)   何谓TEOS?   答:Tetraethoxysilane用途为沉积二氧化硅   TEOS在常温时是以何种形态存在?   答:液体   二氧化硅其K值为3.9表示何义   答:表示二氧化硅的介电质常数为真空的3.9倍   氟在CVD的工艺上,有何应用   答:作为清洁反应室(Chamber)用之化学气体   简述Endpointdetector之作用原理.   答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被detector侦测到强度变强或变弱,当超过某一设定强度时,即定义制程结束而该点为endpoint.   机台使用的管件材料主要有那些?   答:有不锈钢制(StainlessSteal),黄铜制(Brass),塑胶制(PVC),特氟隆制(Teflon)四种.    机器维修时要放置停机维修告示牌目的为何?   答:告知所有的人勿操作机台,避免危险   机台维修至少两人配合,有何目的?   答:帮忙拆卸重物,并随时警戒可能的意外发生   更换过任何气体管路上的零件之后,一定要做何动作?   答:用氦气测漏机来做测漏   维修尚未降至室温之反应室(Chamber),应配带何种手套   答:石棉材质之防热手套并宜在80摄式度下始可动作   何为真空(Vacuum)?半导体业常用真空单位是什幺?   答:半导体业通常用Torr作为真空的压力单位,一大气压相当760Torr,低于760Torr压力的环境称为真空.   真空Pump的作用?   答:降低反应室(Chamber)内的气体密度和压力   何谓内部连锁(Interlock)   答:机台上interlock有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台.   机台设定许多interlock有何作用?   答:机台上interlock主要避免人员操作错误及防止不相关人员动作.   WaferScrubber的功能为何?   答:移除芯片表面的污染粒子         作者:core-logic 回复日期:2006-1-22 09:55:17      最近比较忙,就把一些技术资料先贴上来。   ===========================================================   CVD   晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室   答:由于微小的粒子就能引起电子组件与电路的缺陷   何谓半导体?   答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。   常用的半导体材料为何   答:硅(Si)、锗(Ge)和砷化家(AsGa)   何谓VLSI   答:VLSI(VeryLargeScaleIntegration)超大规模集成电路   在半导体工业中,作为绝缘层材料通常称什幺   答:介电质(Dielectric)   薄膜区机台主要的功能为何   答:沉积介电质层及金属层   何谓CVD(ChemicalVaporDep.)   答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程   CVD分那几种?   答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式)    为什幺要用铝铜(AlCu)合金作导线?   答:良好的导体仅次于铜   介电材料的作用为何?   答:做为金属层之间的隔离   何谓PMD(Pre-MetalDielectric)   答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质   何谓IMD(Inter-MetalDielectric)   答:金属层间介电质层。   何谓USG?   答:未掺杂的硅玻璃(UndopedSilicateGlass)   何谓FSG?   答:掺杂氟的硅玻璃(FluorinatedSilicateGlass)   何谓BPSG?   答:掺杂硼磷的硅玻璃(Borophosphosilicateglass)   何谓TEOS?   答:Tetraethoxysilane用途为沉积二氧化硅   TEOS在常温时是以何种形态存在?   答:液体   二氧化硅其K值为3.9表示何义   答:表示二氧化硅的介电质常数为真空的3.9倍   氟在CVD的工艺上,有何应用   答:作为清洁反应室(Chamber)用之化学气体   简述Endpointdetector之作用原理.   答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被detector侦测到强度变强或变弱,当超过某一设定强度时,即定义制程结束而该点为endpoint.   机台使用的管件材料主要有那些?   答:有不锈钢制(StainlessSteal),黄铜制(Brass),塑胶制(PVC),特氟隆制(Teflon)四种.   机器维修时要放置停机维修告示牌目的为何?   答:告知所有的人勿操作机台,避免危险   机台维修至少两人配合,有何目的?   答:帮忙拆卸重物,并随时警戒可能的意外发生   更换过任何气体管路上的零件之后,一定要做何动作?   答:用氦气测漏机来做测漏   维修尚未降至室温之反应室(Chamber),应配带何种手套   答:石棉材质之防热手套并宜在80摄式度下始可动作   何为真空(Vacuum)?半导体业常用真空单位是什幺?   答:半导体业通常用Torr作为真空的压力单位,一大气压相当760Torr,低于760Torr压力的环境称为真空.   真空Pump的作用?   答:降低反应室(Chamber)内的气体密度和压力   何谓内部连锁(Interlock)   答:机台上interlock有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台.   机台设定许多interlock有何作用?   答:机台上interlock主要避免人员操作错误及防止不相关人员动作.    WaferScrubber的功能为何?   答:移除芯片表面的污染粒子         作者:core-logic 回复日期:2006-1-22 09:56:50      ETCH   何谓蚀刻(Etch)?   答:将形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。   蚀刻种类:   答:(1)干蚀刻(2)湿蚀刻   蚀刻对象依薄膜种类可分为:   答:poly,oxide,metal   半导体中一般金属导线材质为何?   答:鵭线(W)/铝线(Al)/铜线(Cu)   何谓dielectric蚀刻(介电质蚀刻)?   答:Oxideetchandnitrideetch   半导体中一般介电质材质为何?   答:氧化硅/氮化硅   何谓湿式蚀刻   答:利用液相的酸液或溶剂;将不要的薄膜去除   何谓电浆Plasma?   答:电浆是物质的第四状态.带有正,负电荷及中性粒子之总和;其中包含电子,正离子,负离子,中性分子,活性基及发散光子等,产生电浆的方法可使用高温或高电压.   何谓干式蚀刻?   答:利用plasma将不要的薄膜去除   何谓Under-etching(蚀刻不足)?   答:系指被蚀刻材料,在被蚀刻途中停止造成应被去除的薄膜仍有残留   何谓Over-etching(过蚀刻)   答:蚀刻过多造成底层被破坏   何谓Etchrate(蚀刻速率)   答:单位时间内可去除的蚀刻材料厚度或深度   何谓Seasoning(陈化处理)   答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真(dummy)晶圆进行数次的蚀刻循环。   Asher的主要用途:   答:光阻去除   Wetbenchdryer功用为何?   答:将晶圆表面的水份去除   列举目前Wetbenchdry方法:   答:(1)SpinDryer(2)Marangonidry(3)IPAVaporDry   何谓SpinDryer   答:利用离心力将晶圆表面的水份去除   何谓MaragoniDryer    答:利用表面张力将晶圆表面的水份去除   何谓IPAVaporDryer   答:利用IPA(异丙醇)和水共溶原理将晶圆表面的水份去除   测Particle时,使用何种测量仪器?   答:TencorSurfscan   测蚀刻速率时,使用何者量测仪器?   答:膜厚计,测量膜厚差值   何谓AEI   答:AfterEtchingInspection蚀刻后的检查   AEI目检Wafer须检查哪些项目:   答:(1)正面颜色是否异常及刮伤(2)有无缺角及Particle(3)刻号是否正确   金属蚀刻机台转非金属蚀刻机台时应如何处理?   答:清机防止金属污染问题   金属蚀刻机台asher的功用为何?   答:去光阻及防止腐蚀   金属蚀刻后为何不可使用一般硫酸槽进行清洗?   答:因为金属线会溶于硫酸中   "HotPlate"机台是什幺用途?   答:烘烤   HotPlate烘烤温度为何?   答:90~120度C   何种气体为PolyETCH主要使用气体?   答:Cl2,HBr,HCl   用于Al金属蚀刻的主要气体为   答:Cl2,BCl3   用于W金属蚀刻的主要气体为   答:SF6   何种气体为oxidevai/contactETCH主要使用气体?   答:C4F8,C5F8,C4F6   硫酸槽的化学成份为:   答:H2SO4/H2O2   AMP槽的化学成份为:   答:NH4OH/H2O2/H2O   UVcuring是什幺用途?   答:利用UV光对光阻进行预处理以加强光阻的强度   "UVcuring"用于何种层次?   答:金属层   何谓EMO?   答:机台紧急开关   EMO作用为何?   答:当机台有危险发生之顾虑或已不可控制,可紧急按下   湿式蚀刻门上贴有那些警示标示?   答:(1)警告.内部有严重危险.严禁打开此门(2)机械手臂危险.严禁打开此门(3)化学药剂危险.严禁打开此门    遇化学溶液泄漏时应如何处置?   答:严禁以手去测试漏出之液体.应以酸碱试纸测试.并寻找泄漏管路.   遇IPA槽着火时应如何处置??   答:立即关闭IPA输送管路并以机台之灭火器灭火及通知紧急应变小组   BOE槽之主成份为何?   答:HF(氢氟酸)与NH4F(氟化铵).   BOE为那三个英文字缩写?   答:BufferedOxideEtcher。   有毒气体之阀柜(VMB)功用为何?   答:当有毒气体外泄时可利用抽气装置抽走,并防止有毒气体漏出   电浆的频率一般13.56MHz,为何不用其它频率?   答:为避免影响通讯品质,目前只开放特定频率,作为产生电浆之用,如380~420KHz,13.56MHz,2.54GHz等   何谓ESC(electricalstaticchuck)   答:利用静电吸附的原理,将Wafer固定在极板(Substrate)上   Asher主要气体为   答:O2   Asher机台进行蚀刻最关键之参数为何?   答:温度   简述TURBOPUMP原理   答:利用涡轮原理,可将压力抽至10-6TORR   热交换器(HEATEXCHANGER)之功用为何?   答:将热能经由介媒传输,以达到温度控制之目地   简述BACKSIDEHELIUMCOOLING之原理?   答:藉由氦气之良好之热传导特性,能将芯片上之温度均匀化   ORIENTER之用途为何?    答:搜寻notch边,使芯片进反应腔的位置都固定,可追踪问题   简述EPD之功用   答:侦测蚀刻终点;Endpointdetector利用波长侦测蚀刻终点   何谓MFC?   答:massflowcontroler气体流量控制器;用于控制反应气体的流量   GDP为何?   答:气体分配盘(gasdistributionplate)   GDP有何作用?   答:均匀地将气体分布于芯片上方   何谓isotropicetch?   答:等向性蚀刻;侧壁侧向蚀刻的机率均等   何谓anisotropicetch?   答:非等向性蚀刻;侧壁侧向蚀刻的机率少   何谓etch选择比?   答:不同材质之蚀刻率比值   何谓AEICD?   答:蚀刻后特定图形尺寸之大小,特征尺寸(CriticalDimension)   何谓CDbias?    答:蚀刻CD减蚀刻前黄光CD   简述何谓田口式实验计划法?   答:利用混合变因安排辅以统计归纳分析   何谓反射功率?   答:蚀刻过程中,所施予之功率并不会完全地被反应腔内接收端所接受,会有部份值反射掉,此反射之量,称为反射功率   LoadLock之功能为何?   答:Wafers经由loadlock后再进出反应腔,确保反应腔维持在真空下不受粉尘及湿度的影响.   厂务供气系统中何谓BulkGas?   答:BulkGas为大气中普遍存在之制程气体,如N2,O2,Ar等.   厂务供气系统中何谓InertGas?   答:InertGas为一些特殊无强烈毒性的气体,如NH3,CF4,CHF3,SF6等.   厂务供气系统中何谓ToxicGas?   答:ToxicGas为具有强烈危害人体的毒性气体,如SiH4,Cl2,BCl3等.   机台维修时,异常告示排及机台控制权应如何处理?   答:将告示牌切至异常且将机台控制权移至维修区以防有人误动作   冷却器的冷却液为何功用?   答:传导热   Etch之废气有经何种方式处理?   答:利用水循环将废气溶解之后排放至废酸槽   何谓RPM?   答:即RemotePowerModule,系统总电源箱.   火灾异常处理程序   答:(1)立即警告周围人员.(2)尝试3秒钟灭火.(3)按下EMO停止机台.(4)关闭VMBValve并通知厂务.(5)撤离.   一氧化碳(CO)侦测器警报异常处理程序   答:(1)警告周围人员.(2)按Pause键,暂止Run货.(3)立即关闭VMB阀,并通知厂务.(4)进行测漏.   高压电击异常处理程序   答:(1)确认安全无虑下,按EMO键(2)确认受伤原因(误触电源,漏水等)(3)处理受伤人员   T/C(传送TransferChamber)之功能为何?   答:提供一个真空环境,以利机器手臂在反应腔与晶舟间传送Wafer,节省时间.   机台PM时需佩带面具否   答:是,防毒面具   机台停滞时间过久run货前需做何动作   答:Seasoning(陈化处理)   何谓日常测机   答:机台日常检点项目,以确认机台状况正常   何谓WAC(WaferlessAutoClean)   答:无wafer自动干蚀刻清机   何谓DryClean   答:干蚀刻清机   日常测机量测etchrate之目的何在?   答:因为要蚀刻到多少厚度的film,其中一个重要参数就是蚀刻率    操作酸碱溶液时,应如何做好安全措施?   答:(1)穿戴防酸碱手套围裙安全眼镜或护目镜(2)操作区备有清水与水管以备不时之需(3)操作区备有吸酸棉及隔离带   如何让chamber达到设定的温度?   答:使用heater 和chiller   Chiller之功能为何?   答:用以帮助稳定chamber温度   如何在chamber建立真空?   答:(1)首先确立chamberparts组装完整(2)以drypump作第一阶段的真空建立(3)当圧力到达100mTD寺再以turbopump抽真空至1mT以下   真空计的功能为何?   答:侦测chamber的压力,确保wafer在一定的压力下process   Transfermodule之robot功用为何?   答:将wafer传进chamber与传出chamber之用   何谓MTBC?(meantimebetweenclean)   答:上一次wetclean到这次wetclean所经过的时间   RFGenerator是否需要定期检验?   答:是需要定期校验;若未校正功率有可能会变化;如此将影响电浆的组成   为何需要对etchchamber温度做监控?   答:因为温度会影响制程条件;如etchingrate/均匀度   为何需要注意drypumpexhaustpresure(pump出口端的气压)?   答:因为气压若太大会造成pump负荷过大;造成pump跳掉,影响chamber的压力,直接影响到run货品质   为何要做漏率测试?(Leakrate)   答:(1)在PM后PUMPDown1~2小时后;为确保chamberRun货时,无大气进入chamble影响chamberGAS成份(2)在日常测试时,为确保chamber内来自大气的泄漏源,故需测漏   机台发生Alarm时应如何处理?   答:(1)若为火警,立即圧下EMO(紧急按钮),并灭火且通知相关人员与主管(2)若是一般异常,请先检查alarm讯息再判定异常原因,进而解决问题,若未能处理应立即通知主要负责人   蚀刻机台废气排放分为那几类?   答:一般无毒性废气/有毒酸性废气排放   蚀刻机台使用的电源为多少伏特(v)?   答:208V三相   干式蚀刻机台分为那几个部份?   答:(1)Load/Unload端(2)transfermodule(3)Chamberprocessmodule(4)真空系统(5)GASsystem(6)RFsystem    作者:core-logic 回复日期:2006-1-22 09:58:35      PHOTO   PHOTO流程?   答:上光阻→曝光→顯影→顯影後檢查→CD量測→Overlay量測   何为光阻?其功能为何?其分为哪两种?    答:Photoresist(光阻).是一种感光的物质,其作用是将Pattern从光罩(Reticle)上传递到Wafer上的一种介质。其分为正光阻和负光阻。   何为正光阻?   答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。      何为负光阻?   答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感光的部分则被显影过程去除。      什幺是曝光?什幺是显影?   答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。   何谓Photo?   答:Photo=Photolithgraphy,光刻,将图形从光罩上成象到光阻上的过程。   Photo主要流程为何?   答:Photo的流程分为前处理,上光阻,SoftBake,曝光,PEB,显影,HardBake等。   何谓PHOTO区之前处理?   答:在Wafer上涂布光阻之前,需要先对Wafer表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括Bake,HDMS等过程。其中通过Bake将Wafer表面吸收的水分去除,然后进行HDMS工作,以使Wafer表面更容易与光阻结合。   何谓上光阻?   答:上光阻是为了在Wafer表面得到厚度均匀的光阻薄膜。光阻通过喷嘴(Nozzle)被喷涂在高速旋转的Wafer表面,并在离心力的作用下被均匀的涂布在Wafer的表面。   何谓SoftBake?   答:上完光阻之后,要进行SoftBake,其主要目的是通过SoftBake将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。   何谓曝光?   答:曝光是将涂布在Wafer表面的光阻感光的过程,同时将光罩上的图形传递到Wafer上的过程。   何谓PEB(PostExposureBake)?   答:PEB是在曝光结束后对光阻进行控制精密的Bake的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。   何谓显影?   答:显影类似于洗照片,是将曝光完成的Wafer进行成象的过程,通过这个过程,成象在光阻上的图形被显现出来。   何谓HardBake?   答:HardBake是通过烘烤使显影完成后残留在Wafer上的显影液蒸发,并且固化显影完成之后的光阻的图形的过程。   何为BARC?何为TARC?它们分别的作用是什幺?   答:BARC=BottomAntiReflectiveCoating,TARC=TopAntiReflectiveCoating.BARC是被涂布在光阻下面的一层减少光的反射的物质,TARC则是被涂布在光阻上表面的一层减少光的反射的物质。他们的作用分别是减少曝光过程中光在光阻的上下表面的反射,以使曝光的大部分能量都被光阻吸收。   何谓I-line?    答:曝光过程中用到的光,由MercuryLamp(汞灯)产生,其波长为365nm,其波长较长,因此曝光完成后图形的分辨率较差,可应用在次重要的层次。   何谓DUV?   答:曝光过程中用到的光,其波长为248nm,其波长较短,因此曝光完成后的图形分辨率较好,用于较为重要的制程中。   I-line与DUV主要不同处为何?   答:光源不同,波长不同,因此应用的场合也不同。I-Line主要用在较落后的制程(0.35微米以上)或者较先进制程(0.35微米以下)的Non-Criticallayer。DUV则用在先进制程的Criticallayer上。   何为ExposureField?   答:曝光区域,一次曝光所能覆盖的区域   何谓Stepper?其功能为何?   答:一种曝光机,其曝光动作为Stepbystep形式,一次曝整個exposurefield,一個一個曝過去   何谓Scanner?其功能为何?   答:一种曝光机,其曝光动作为Scanningandstep形式,在一個exposurefield曝光時,先Scan完整個field,Scan完後再移到下一個field.   何为象差?   答:代表透镜成象的能力,越小越好.   Scanner比Stepper优点为何?   答:ExposureField大,象差较小   曝光最重要的两个参数是什幺?   答:Energy(曝光量),Focus(焦距)。如果能量和焦距调整的不好,就不能得到要求的分辨率和要求大小的图形,主要表现在图形的CD值超出要求的范围。因此要求在生产时要时刻维持最佳的能量和焦距,这两个参数对于不同的产品会有不同。   何为Reticle?   答:Reticle也称为Mask,翻译做光掩模板或者光罩,曝光过程中的原始图形的载体,通过曝光过程,这些图形的信息将被传递到芯片上。   何为Pellicle?   答:Pellicle是Reticle上为了防止灰塵(dust)或者微塵粒子(Particle)落在光罩的图形面上的一层保护膜。   何为OPC光罩?   答:OPC(OpticalProximityCorrection)为了增加曝光图案的真实性,做了一些修正的光罩,例如,0.18微米以下的Poly,Metallayer就是OPC光罩。   何为PSM光罩?   答:PSM(PhaseShiftMask)不同于Crmask,利用相位干涉原理成象,目前大都应用在contactlayer以及较小CD的Criticallayer(如AA,POLY,METAL1)以增加图形的分辨率。   何為CRMask?   答:傳統的鉻膜光罩,只是利用光訊0與1干涉成像,主要應用在較不Critical的layer   光罩编号各位代码都代表什幺?   答:例如-156AA-1DA,0037代表产品号,00代表Specialcode,156代表layer,A代表客户版本,后一个A代表SMIC版本,1代表FAB1,D代表DUV(如果是J,则代表I-line),A代表ASML机台(如果是C,则代表Canon机台)   光罩室同时不能超过多少人在其中?   答:2人,为了避免产生更多的Particle和静电而损坏光罩。   存取光罩的基本原则是什幺?    答:(1)光罩盒打开的情况下,不准进出MaskRoom,最多只准保持2个人(2)戴上手套(3)轻拿轻放   如何避免静电破坏Mask?   答:光罩夹子上连一导线到金属桌面,可以将产生的静电导出。   光罩POD和FOUP能放在一起吗?它们之间至少应该保持多远距离?   答:不能放在一起,之间至少要有30公分的距离,防止搬动FOUP时碰撞光罩Pod而损坏光罩。   何谓Track?   答:Photo制程中一系列步骤的组合,其包括:Wafer的前、后处理,Coating(上光阻),和Develop(显影)等过程。   In-lineTrack机台有几个Coater槽,几个Developer槽?   答:均为4个   机台上亮红灯的处理流程?   答:机台上红灯亮起的时候表明机台处于异常状态,此时已经不能RUN货,因此应该及时CallE.E进行处理。若EE现在无法立即解决,则将机台挂DOWN。   何谓WEE?其功能为何?   答:WaferEdgeExposure。由于Wafer边缘的光阻通常会涂布的不均匀,因此一般不能得到较好的图形,而且有时还会因此造成光阻peeling而影响其它部分的图形,因此将WaferEdge的光阻曝光,进而在显影的时候将其去除,这样便可以消除影响。   何为PEB?其功能为何?   答:PostExposureBake,其功能在于可以得到质量较好的图形。(消除standingwaves)   PHOTOPOLYIMIDE所用的光阻是正光阻还是负光阻   答:目前正负光阻都有,SMICFAB内用的为负光阻。   RUN货结束后如何判断是否有wafer被reject?   答:查看RUN之前lot里有多少Wafer,再看Run之后lot里的WAFER是否有少掉,如果有少,则进一步查看机台是否有Reject记录。   何谓Overlay?其功能为何?   答:迭对测量仪。由于集成电路是由很多层电路重迭组成的,因此必须保证每一层与前面或者后面的层的对准精度,如果对准精度超出要求范围内,则可能造成整个电路不能完成设计的工作。因此在每一层的制作的过程中,要对其与前层的对准精度进行测量,如果测量值超出要求,则必须采取相应措施调整processcondition.   何谓ADICD?   答:CriticalDimension,光罩图案中最小的线宽。曝光过后,它的图形也被复制在Wafer上,通常如果这些最小的线宽能够成功的成象,同时曝光的其它的图形也能够成功的成象。因此通常测量CD的值来确定process的条件是否合适。   何谓CD-SEM?其功能为何?   答:扫描电子显微镜。是一种测量用的仪器,通常可以用于测量CD以及观察图案。   PRS的制程目的为何?   答:PRS(ProcessReleaseStandard)通过选择不同的条件(能量和焦距)对Wafer曝光,以选择最佳的processcondition。   何为ADI?ADI需检查的项目有哪些?   答:AfterDevelopInspection,曝光和显影完成之后,通过ADI机台对所产生的图形的定性检查,看其是否正常,其检查项目包括:LayerID,LockingCorner,Vernier,PhotoMacroDefect   何为OOC,OOS,OCAP?   答:OOC=outofcontrol,OOS=OutofSpec,OCAP=outofcontrolactionplan   当需要追货的时候,是否需要将ETCH没有下机台的货追回来?    答:需要。因为通常是process出现了异常,而且影响到了一些货,因此为了减少损失,必须把还没有ETCH的货追回来,否则ETCH之后就无法挽回损失。   PHOTOADI检查的SITE是每片几个点?   答:5点,Wafer中间一点,周围四点。      PHOTOOVERLAY检查的SITE是每片几个点?   答:20      PHOTOADI检查的片数一般是哪几片?   答:#1,#6,#15,#24;统计随机的考量   何谓RTMS,其主要功能是什幺?   答:RTMS(ReticleManagementSystem)光罩管理系统用于trace光罩的History,Status,Location,andInformation以便于光罩管理   PHOTO区的主机台进行PM的周期?   答:一周一次   PHOTO区的控片主要有几种类型   答:(1)Particle:作為Particlemonitor用的芯片,使用前測前需小於10顆(2)ChuckParticle:作為Scanner測試Chuck平坦度的專用芯片,其平坦度要求非常高(3)Focus:作為ScannerDailymonitorbest的wafer(4)CD:做為photo區dailymonitorCD穩定度的wafer(5)PRthickness:做為光阻厚度測量的wafer(6)PDM:做為photodefectmonitor的wafer   当TRACK刚显示光阻用完时,其实机台中还有光阻吗?   答:有少量光阻   当TRACK刚显示光阻用完时,其实机台中还有光阻吗?   答:有少量光阻   WAFERSORTER有读WAFER刻号的功能吗?   答:有   光刻部的主要机台是什幺?它们的作用是什幺?   答:光刻部的主要机台是:TRACK(涂胶显影机),Sanner(扫描曝光机)   为什幺说光刻技术最象日常生活中的照相技术   答:Track把光刻胶涂附到芯片上就等同于底片,而曝光机就是一台最高级的照相机.光罩上的电路图形就是"人物".通过对准,对焦,打开快门,让一定量的光照过光罩,其图像呈现在芯片的光刻胶上,曝光后的芯片被送回Track的显影槽,被显影液浸泡,曝光的光刻胶被洗掉,图形就显现出来了.   光刻技术的英文是什幺   答:PhotoLithography   常听说的.18或点13技术是指什幺?   答:它是指某个产品,它的最小"CD"的大小为0.18umor0.13um.越小集成度可以越高,每个芯片上可做的芯片数量越多,难度也越大.它是代表工艺水平的重要参数.   从点18工艺到点13工艺到点零9.难度在哪里?   答:难度在光刻部,因为图形越来越小,曝光机分辨率有限.   曝光机的NA是什幺?   答:NA是曝光机的透镜的数值孔径;是光罩对透镜张开的角度的正玹值.最大是1;先进的曝光机的NA在0.5---0.85之间.   曝光机分辨率是由哪些参数决定的?   答:分辨率=k1*Lamda/NA.Lamda是用于曝光的光波长;NA是曝光机的透镜的数值孔径; k1是标志工艺水准的参数,通常在0.4--0.7之间.   如何提高曝光机的分辨率呢?   答:减短曝光的光波长,选择新的光源;把透镜做大,提高NA.   现在的生产线上,曝光机的光源有几种,波长多少?   答:有三种:高压汞灯光谱中的365nm谱线,我们也称其为I-line;KrF激光器,产生248nm的光;ArF激光器,产生193nm的光;   下一代曝光机光源是什幺?   答:F2激光器.波长157nm   我们可否一直把波长缩短,以提高分辨率?困难在哪里?   答:不可以.困难在透镜材料.能透过157nm的材料是CaF2,其晶体很难生长.还未发现能透过更短波长的材料.   为什幺光刻区采用黄光照明?   答:因为白光中包含365nm成份会使光阻曝光,所以采用黄光;就象洗像的暗房采用暗红光照明.   什幺是SEM   答:扫描电子显微镜(ScanElectronicMicroscope)光刻部常用的也称道CDSEM.用它来测量CD   如何做Overlay测量呢?   答:芯片(Wafer)被送进Overlay机台中.先确定Wafer的位置从而找到OverlayMARK.这个MARK是一个方块IN方块的结构.大方块是前层,小方块是当层;通过小方块是否在大方块中心来确定Overlay的好坏.   生产线上最贵的机器是什幺   答:曝光机;5-15百万美金/台   曝光机贵在哪里?   答:曝光机贵在它的光学成像系统(它的成像系统由15到20个直径在200300MM的透镜组成.波面相位差只有最好象机的5%.它有精密的定位系统(使用激光工作台)   激光工作台的定位精度有多高?   答:现用的曝光机的激光工作台定位的重复精度小于10nm   曝光机是如何保证Overlay<50nm   答:曝光机要保证每层的图形之间对准精度<50nm.它首先要有一个精准的激光工作台,它把wafer移动到准确的位置.再就是成像系统,它带来的图像变形<35nm.   在WAFER上,什幺叫一个Field?   答:光罩上图形成象在WAFER上,最大只有26X33mm一块(这一块就叫一个Field),激光工作台把WAFER移动一个Field的位置,再曝一次光,再移动再曝光。直到覆盖整片WAFER。所以,一片WAFER上有约100左右Field.   什幺叫一个Die?   答:一个Die也叫一个Chip;它是一个功能完整的芯片。一个Field可包含多个Die;   为什幺曝光机的绰号是"印钞机"   答:曝光机很贵;一天的折旧有3万-9万人民币之多;所以必须充份利用它的产能,它一天可产出1600片WAFER。   Track和Scanner内主要使用什幺手段传递Wafer:   答:机器人手臂(robot),Scanner的ROBOT有真空(VACCUM)来吸住WAFER.TRACK的ROBOT设计独特,用边缘HOLDWAFER.      可否用肉眼直接观察测量Scanner曝光光源输出的光   答:绝对禁止;强光对眼睛会有伤害    为什幺黄光区内只有Scanner应用Foundation(底座)   答:Scanner曝光对稳定性有极高要求(减震)   近代光刻技术分哪几个阶段?   答:从80"S至今可分4阶段:它是由曝光光源波长划分的;高压水银灯的G-line(438nm),I-line(365nm);excimerlaserKrF(248nm),ArFlaser(193nm)   I-linescanner的工作范围是多少?   答:CD>0.35um以上的图层(LAYER)   KrFscanner的工作范围是多少?   答:CD>0.13um以上的图层(LAYER)   ArFscanner的工作范围是多少?   答:CD>0.08um以上的图层(LAYER)   什幺是DUVSCANNER   答:DUVSCANNER 是指所用光源为DeepUltraVoliet,超紫外线.即现用的248nm,193nmScanner   Scanner在曝光中可以达到精确度宏观理解:   答:Scanner是一个集机,光,电为一体的高精密机器;为控制iverlay<40nm,在曝光过程中,光罩和Wafer的运动要保持很高的同步性.在250nm/秒的扫描曝光时,两者同步位置<10nm.相当于两架时速1000公里/小时的波音747飞机前后飞行,相距小于10微米   光罩的结构如何?   答:光罩是一块石英玻璃,它的一面镀有一层铬膜(不透光).在制造光罩时,用电子束或激光在铬膜上写上电路图形(把部分铬膜刻掉,透光).在距铬膜5mm的地方覆盖一极薄的透明膜(叫pellicle),保护铬膜不受外界污染.      在超净室(cleanroom)为什幺不能携带普通纸   答:普通纸张是由大量短纤维压制而成,磨擦或撕割都会产生大量微小尘埃(particle).进cleanroom要带专用的CleanroomPaper.   如何做CD测量呢?   答:芯片(Wafer)被送进CDSEM中.电子束扫过光阻图形(Pattern).有光阻的地方和无光阻的地方产生的二次电子数量不同;处理此信号可的图像.对图像进行测量得CD.   什幺是DOF   答:DOF也叫DepthOfFocus,与照相中所说的景深相似.光罩上图形会在透镜的另一侧的某个平面成像,我们称之为像平面(ImagePlan),只有将像平面与光阻平面重合(InFocus)才能印出清晰图形.当离开一段距离后,图像模糊.这一可清晰成像的距离叫DOF   曝光显影后产生的光阻图形(Pattern)的作用是什幺?   答:曝光显影后产生的光阻图形有两个作用:一是作刻蚀的模板,未盖有光阻的地方与刻蚀气体反应,被吃掉.去除光阻后,就会有电路图形留在芯片上.另一作用是充当例子注入的模板.   光阻种类有多少?   答:光阻种类有很多.可根据它所适用的曝光波长分为I-line光阻,KrF光阻和ArF光阻   光阻层的厚度大约为多少?   答:光阻层的厚度与光阻种类有关.I-line光阻最厚,0.7umto3um.KrF光阻0.4-0.9um.ArF光阻0.2-0.5um.   哪些因素影响光阻厚度?   答:光阻厚度与芯片(WAFER)的旋转速度有关,越快越薄,与光阻粘稠度有关.   哪些因素影响光阻厚度的均匀度?    答:光阻厚度均匀度与芯片(WAFER)的旋转加速度有关,越快越均匀,与旋转加减速的时间点有关.   当显影液或光阻不慎溅入眼睛中如何处理   答:大量清水冲洗眼睛,并查阅显影液的CSDS(ChemicalSafetyDataSheet),把它提供给医生,以协助治疗         作者:core-logic 回复日期:2006-1-22 10:00:11    FAC   根据工艺需求排气分几个系统?   答:分为一般排气(General)、酸性排气(Scrubbers)、碱性排气(Ammonia)和有机排气(Solvent)四个系统。   高架地板分有孔和无孔作用?   答:使循环空气能流通,不起尘,保证洁净房内的洁净度;防静电;便于HOOK-UP。   离子发射系统作用   答:离子发射系统,防止静电   SMIC洁净等级区域划分   答:MaskShopclass1&100Fab1&Fab2Photoandprocessarea:Class100Cu-lineAl-LineOS1L3OS1L4testingClass1000   什幺是制程工艺真空系统(PV)   答:是提供厂区无尘室生产及测试机台在制造过程中所需的工艺真空;如真空吸笔、光阻液涂布、吸芯片用真空源等。该系统提供一定的真空压力(真空度大于80kpa)和流量,每天24小时运行   什幺是MAU(MakeUpAirUnit),新风空调机组作用   答:提供洁净室所需之新风,对新风湿度,温度,及洁净度进行控制,维持洁净室正压和湿度要求。   HouseVacuumSystem作用   答:HV(HouseVacuum)系统提供洁净室制程区及回风区清洁吸取微尘粒子之真空源,其真空度较低。使用方法为利用软管连接事先已安装在高架地板下或柱子内的真空吸孔,打开运转电源。此系统之运用可减低清洁时的污染。   FilterFanUnitSystem(FFU)作用   答:FFU系统保证洁净室内一定的风速和洁净度,由Fan和Filter(ULPA)组成。   什幺是CleanRoom洁净室系统   答:洁净室系统供应给制程及机台设备所需之洁净度、温度、湿度、正压、气流条件等环境要求。   Cleanroomspec:标准   答:Temperature23°C±1°C(Photo:23°C±0.5°C)Humidity45%±5%(Photo:45%±3%)Class100Overpressure+15paAirvelocity0.4m/s±0.08m/s   Fab内的safetyshower的日常维护及使用监督由谁来负责   答:Fab内的AreaOwner(若出现无水或大量漏水等可请厂务水课(19105)协助)   工程师在正常跑货用纯水做rinse或做机台维护时,要注意不能有酸或有机溶剂(如IPA等)进入纯水回收系统中,这是因为:   答:酸会导致conductivity(导电率)升高,有机溶剂会导致TOC升高。两者均会影响并降低纯水回收率。   若在Fab内发现地面有水滴或残留水等,应如何处理或通报    答:先检查是否为机台漏水或做PM所致,若为厂务系统则通知厂务中控室(12222)   机台若因做PM或其它异常,而要大量排放废溶剂或废酸等应首先如何通报   答:通知厂务主系统水课的值班(19105)   废水排放管路中酸碱废水/浓硫酸/废溶剂等使用何种材质的管路?   答:酸碱废水/高密度聚乙烯(HDPE)浓硫酸/钢管内衬铁福龙(CS-PTFE)废溶剂/不琇钢管(SUS)   若机台内的drain管有接错或排放成分分类有误,将会导致后端的主系统出现什幺问题?   答:将会导致后端处理的主系统相关指标处理不合格,从而可能导致公司排放口超标排放的事故。   公司做水回收的意义如何?   答:(1)节约用水,降低成本。重在环保。(2)符合ISO可持续发展的精神和公司环境保护暨安全卫生政策。   何种气体归类为特气(SpecialtyGas)?   答:SiH2Cl2   何种气体由VMBStick点供到机台?   答:H2   何种气体有自燃性?   答:SiH4   何种气体具有腐蚀性?   答:ClF3   当机台用到何种气体时,须安装气体侦测器?   答:PH3   名词解释GC,VMB,VMP   答:GC-GasCabinet气瓶柜VMB-ValveManifoldBox阀箱,适用于危险性气体。VMP-ValveManifoldPanel阀件盘面,适用于惰性气体。   标准大气环境中氧气浓度为多少?工作环静氧气浓度低于多少时人体会感觉不适?   答:21%19%   什幺是气体的LEL?H2的LEL为多少?   答:LEL-LowExplosiveLevel气体爆炸下限H2LEL-4%.   当FAB内气体发生泄漏二级警报(既LeakHiHi),气体警报灯(LAU)会如何动作?FAB内工作人员应如何应变?   答:LAU红、黄灯闪烁、蜂鸣器叫听从ERC广播命令,立刻疏散。   化学供应系统中的化学物质特性为何?   答:(1)Acid/Caustic酸性/腐蚀性(2)Solvent有机溶剂(3)Slurry研磨液   有机溶剂柜的安用保护装置为何?   答:(1)Gas/Temp.detector;气体/温度侦测器(2)CO2extinguisher;二氧化碳灭火器   中芯有那几类研磨液(slurry)系统?   答:(1)Oxide(SiO2)(2)Tungsten(W)鵭   设备机台总电源是几伏特?   答:208VOR380V   欲从事生产/测试/维护时,如无法就近取得电源供给,可以无限制使用延长线吗?   答:不可以   如何选用电器器材?   答:使用电器器材需采用通过认证之正规品牌   机台开关可以任意分/合吗?   答:未经确认不可随意分/合任何机台开关,以免造成生产损失及人员伤害.    欲从事生产/测试/维护时,如无法就近取得电源供给,也不能无限制使用延长线,对吗?   答:对   假设断路器启断容量为16安培导线线径2.5mm2,电源供应电压单相220伏特,若使用单相5000W电器设备会产生何种情况?   答:断路器跳闸   当供电局供电中断时,人员仍可安心待在FAB中吗?   答:当供电局供电中断时,本厂因有紧急发电机设备,配合各相关监视系统,仍然能保持FAB之Safety,所以人员仍可安心待在FAB中.    作者:core-logic提交日期:2006-1-2210:05:00      WET   在半导体程制中,湿制程(wetprocessing)分那二大頪?   答:(1)晶圆洗净(wafercleaning)(2)湿蚀刻(wetetching).   晶圆洗净(wafercleaning)的设备有那几种?   答:(1)Batchtype(immersiontype):a)carriertypeb)Cassettelesstype(2)Singlewafertype(spraytype)   晶圆洗净(wafercleaning)的目的为何?   答:去除金属杂质,有机物污染及微尘.   半导体制程有那些污染源?   答:(1)微粒子(2)金属(3)有机物(4)微粗糙(5)天生的氧化物   RCA清洗制程目的为何?   答:于微影照像后,去除光阻,清洗晶圆,并做到酸碱中和,使晶圆可进行下一个制程.   洗净溶液APM(SC-1)-->NH4OH:H2O2:H2O的目的为何?   答:去除微粒子及有机物   洗净溶液SPM-->H2SO4:H2O2:H2O的目的为何?   答:去除有机物   洗净溶液HPM(SC-2)-->HCL:H2O2:H2O的目的为何?   答:去除金属   洗净溶液DHF-->HF:H2O(1:100~1:500)的目的为何?   答:去除自然氧化膜及金属   洗净溶液FPM-->HF:H2O2:H2O的目的为何?   答:去除自然氧化膜及金属   洗净溶液BHF(BOE)-->HF:NH4F的目的为何?   答:氧化膜湿式蚀刻   洗净溶液热磷酸-->H3PO4的目的为何?   答:氮化膜湿式蚀刻   0.25微米逻辑组件有那五种标准清洗方法?   答:(1)扩散前清洗(2)蚀刻后清洗(3)植入后清洗(4)沉积前洗清(5)CMP后清洗   超音波刷洗(ultrasonicscrubbing)目的为何?   答:去除不溶性的微粒子污染   何谓晶圆盒(POD)清洗?   答:利用去离子水和界面活性剂(surfactant),除去晶圆盒表面的污染.   高压喷洒(highpressurespray)或刷洗去微粒子在那些制程之后?   答:(1)锯晶圆(wafersaw)(2)晶圆磨薄(waferlapping)(3)晶圆拋光(waferpolishing)(4)化学机械研磨    晶圆湿洗净设备有那几种?   答:(1)多槽全自动洗净设备(2)单槽清洗设备(3)单晶圆清洗设备.   单槽清洗设备的优点?   答:(1)较佳的环境制程与微粒控制能力.(2)化学品与纯水用量少.(3)设备调整弹性度高.   单槽清洗设备的缺点?   答:(1)产能较低.(2)晶圆间仍有互相污染   单晶圆清洗设备未来有那些须要突破的地方?   答:产能低与设备成熟度 ItisenoughtoshowtoomuchaboutICprocessfromSMIC,pleaseremembertheCIPPwhichyouhadbeentrainedbefore.     Therearemanybossnoticedyournote.   ==========================================================   所有我贴的技术内容,都是在"集成电路网"上找到并转贴过来的,这些技术内容都是在"集成电路网"上非常热的帖子,有兴趣的弟兄可以自己去看。我不认为这是CIPP管制的内容。如果还有问题,可以通知我,我会请管理员删除,然后各位可以在"集成电路网"上找到比我贴的更为详细的内容。   虽然我离开了SMIC,但我和许多老板的关系很不错,对SMIC也还有不浅的感情。否则我贴这个帖子,让大家了解集成电路产业干什么?   从大的方面来讲,我很希望集成电路产业能够在中国大陆蓬勃发展,希望有更多的人能够在这个产业中找到自己的一席之地,能够有所斩获。   那么,在进入这个领域之前,许多学弟学妹总需要更多的信息来做决定--这个领域是否合适于自己?有什么好的方面?有什么不好的方面?如果可能的话,了解一些简单的术语、入门资料。我想这个帖子如果能够给大家起个参考作用我就很满意了。   如果这样还涉及到CIPP的话,那我就无话可说了。   最后,推荐所有想要加入半导体集成电路产业的弟兄们可以去看这本入门书:《半导体制造技术》,里面的有关技术内容要远远比我这个帖子中的一鳞半爪全得多。 关于Vendor   大部分Vendor赚的也就是个辛苦钱。我认识的一个AppliedMaterial的Vendor,常常要加班到很晚,如果半夜Fab有要求,      那么不论多晚,都得赶过来。当然,相应的收入也会比较好。就看你要不要用这个辛苦赚钱了。有一个月他Oncall了14天      ,非常的艰苦。当然,最后这个月他光个人收入所得税就交了两千多。在一个Fab厂刚刚建立的时候,通常会有很多Vendor      一起参与装机和值夜班。一开始机台不太稳定,Vendor们甚至会住在Fab里。   ASML、APL、KLA、TEL等机台的Vendor属于比较不错的位置,虽然比较累,但是收入会比较好。   通常由于机台的Vendor太累,所以给的工资都比较好,不然没有人干。   卖Material的Vendor的差异就大多了,有些人赚的多的难以令人置信,有些人则穷的一气。这一类的Vendor需要非常强的和客户沟通的能力,而且这类Vendor通常都是Sales(很少需要技术),而Sales是个通用的职位,如果混不下去,即使跳出半导体业也能找到合适的位置。  '